Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 311 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
686
576
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E08 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Arrow Lake Refresh (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXDesktop OnlyMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2025 ?Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E8P + 32E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ??8 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)

 

Attachments

  • PantherLake.png
    283.5 KB · Views: 23,980
  • LNL.png
    881.8 KB · Views: 25,452
Last edited:

Gideon

Golden Member
Nov 27, 2007
1,703
3,912
136
Jul 27, 2020
17,712
11,499
106
Great. It means Intel is in the PC gaming game for the long haul. Would be stupid to leave these devices orphaned if they stop updating graphics drivers. I hope this shuts up any further rumors of "Intel getting out of GPUs!" from MLID.
 

LightningZ71

Golden Member
Mar 10, 2017
1,655
1,939
136
Given Intel's past history, them releasing a product means ABSOLUTELY NOTHING about how long they will provide driver support for them. This is ESPECIALLY true of iGPU drivers, having launched and abandoned multiple products within 18 months or less in multiple lines. Given the source of the product referenced, it wouldn't shock me if they completely omitted any sort of driver support language from the supply contract for the processors/SoCs to get the absolute cheapest possible price.
 

CouncilorIrissa

Senior member
Jul 28, 2023
292
1,014
96
Looks to be an interesting handheld:

Here's hoping that BMG requires less fiddling to get older games to work.
 

majord

Senior member
Jul 26, 2015
441
529
136

DavidC1

Senior member
Dec 29, 2023
344
545
96
thanks for that, I should say I meant the Lion Cove uArch specificly ,

IF this is a full architecture overhaul why so little information? Not expecting we'd have a full uAarchitecture detials , but is there no rumors or info to gather from the usual sources, or deeper Speculation?
I don't know why we need it so early on?

I absolutely despised Intel repeating about Tigerlake three times. Once is enough. Release it and be done with it. Everything else can be left to imagination of the speculators.
 
Reactions: igor_kavinski

SiliconFly

Golden Member
Mar 10, 2023
1,179
607
96
Are there any leaks about Arrow lake uArch details at all yet? I mean, more than just "no HT"
thanks for that, I should say I meant the Lion Cove uArch specificly ,

IF this is a full architecture overhaul why so little information? Not expecting we'd have a full uAarchitecture detials , but is there no rumors or info to gather from the usual sources, or deeper Speculation?
Yep. It's really sad we aren't getting enough good details even this late in the cycle.

I think too much of secrecy isn't good for them in the long run.
 
Reactions: majord

Henry swagger

Senior member
Feb 9, 2022
431
274
106
Twitter post removed

Skymont needs 25% to reach m2 and golden gove ipc in int operations.. the goal is close if they can reach 4.8ghz 🤔😀


Profanity is not allowed.


esquared
Anandtech Forum Director
 
Last edited by a moderator:

AMDK11

Senior member
Jul 15, 2019
341
235
116
thanks for that, I should say I meant the Lion Cove uArch specificly ,

IF this is a full architecture overhaul why so little information? Not expecting we'd have a full uAarchitecture detials , but is there no rumors or info to gather from the usual sources, or deeper Speculation?

Here you go.
I know I'm repeating myself, but the only sticking point when it comes to LionCove is this unlabeled graphic diagram from Intel. I described it myself and I think some details already say something. It is always more than the data generally available on the Internet about LionCove.



 
Last edited:

DavidC1

Senior member
Dec 29, 2023
344
545
96
Here you go.
I know I'm repeating myself, but the only sticking point when it comes to LionCove is this unlabeled graphic diagram from Intel. I described it myself and I think some details already say something. It is always more than the data generally available on the Internet about LionCove.
Saying FP/ALU portion increased by 100% is misleading. If anything they put FP in separate ports from the ALUs. However the gain is likely small, because they typically aren't utilized full all the time thus sharing ports reduces transistor count thus die and power. Since CPUs have been forever limited by power, it further reduces the impact. Of course analysis is likely redone every generation.

Also specifically about the Lion Cove+ as some calls it for Lunarlake, some were talking about an extra cache level called L0. So that might be the extra block rather than separated L2 blocks.

I think you're also missing something on the uop width portion with the drastic increase to 14, understandable since Intel said nothing about Lion Cove. It would be nice to see a 30% uarch gain to counter rumored 10% clock loss but many reputable leakers and insiders did not seem to be positive in terms of Lion Cove for performance so the typical 20% figure is likely.

So I'd temper the expectations down a bit. But the Zen 5 guys are also prepared to be disappointed with the latest rumored performance gains lower than high level architectural gains. Maybe both are sandbagging, or maybe both will disappoint.

@Henry swagger Gracemont already beats Skylake in Integer, and it would be a disappointment to see only 16-18% gain since Crestmont is already 4-6% faster. In fact to live up to previous gains, Skymont should be 30% on TOP of Crestmont, and that'll get us to roughly Golden Cove, beating it noticeably in Integer and losing significantly in FP.
 

Dayman1225

Golden Member
Aug 14, 2017
1,153
982
146

Ghostsonplanets

Senior member
Mar 1, 2024
529
926
96
Only 30% lower against 7840U certainly isn’t that impressive. Expected much more given it's a specialized part. But at least it's shaping up to be a good enough.

Only 50% faster than MTL-U7 165U is certainly a bit disappointing compared to the 2x expectations. Specially given it's a 1024 ALU part at >=1.5GHz.
 
Last edited:
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |