Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 328 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
686
576
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E08 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Arrow Lake Refresh (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXDesktop OnlyMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2025 ?Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E8P + 32E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ??8 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)

 

Attachments

  • PantherLake.png
    283.5 KB · Views: 23,980
  • LNL.png
    881.8 KB · Views: 25,452
Last edited:

poke01

Golden Member
Mar 8, 2022
1,337
1,505
106
If Skymont actually performs like Raptor Cove then the E's will finally fulfill their promise.

So Lion Cove will include HT after all.

If Skymont can equal Raptor Cove IPC and runs at 4.6GHz and Lion Cove does 5.4GHz nT and achieves a 15% bump over Raptor Cove than ARL should do a little over 48,000 CB R23 MT.

Zen 15 based on AMD's charts should do about 45,000 in CB R23 MT.

Now on top of all that speculation we don't know if those clocks for ARL are "burning down the house" or achievable with moderate air cooling.

As it has been for the last few generations I think the top of the stack parts for AMD and Intel are once again going to be very comparable.
umm. ARL should beat Zen 5 easy
TBD. Desktop does not have SMT
 

poke01

Golden Member
Mar 8, 2022
1,337
1,505
106
Chips and cheese Lion Cove detials up:
"This means that while Lunar Lake may not support Hyperthreading, other products like Arrow Lake or a hypothetical future server CPU that use future P-Cores could support Hyperthreading if the application can benefit from it."

I guess we waitr for Intel to confirm
 
Reactions: Ghostsonplanets

Saylick

Diamond Member
Sep 10, 2012
3,372
7,103
136
At some point after the dust settles, we're going to need a nice place to do a proper comparison of all these cores. Just super interesting to see how they stack up in PPA. Exciting times.
 
Reactions: Elfear and podspi

Saylick

Diamond Member
Sep 10, 2012
3,372
7,103
136
Yeah, shame we can't do Z4c versus SKT isonode.
None of the comparisons will be iso-node, but it doesn't mean we can't estimate it. The silver lining is that Skymont is on a TSMC node, whom publishes detailed scaling parameters between their own nodes. It mitigates at least that variable.
 

adroc_thurston

Diamond Member
Jul 2, 2023
3,298
4,722
96
The silver lining is that Skymont is on a TSMC node, whom publishes detailed scaling parameters between their own nodes. It mitigates at least that variable.
Comparatively Z4c should have more SRAM in core due to xboxhueg opcache so SKT should have an area advantage, particularly on N3b.
 

Henry swagger

Senior member
Feb 9, 2022
431
274
106
If Skymont actually performs like Raptor Cove then the E's will finally fulfill their promise.

So Lion Cove will include HT after all.

If Skymont can equal Raptor Cove IPC and runs at 4.6GHz and Lion Cove does 5.4GHz nT and achieves a 15% bump over Raptor Cove than ARL should do a little over 48,000 CB R23 MT.

Zen 15 based on AMD's charts should do about 45,000 in CB R23 MT.

Now on top of all that speculation we don't know if those clocks for ARL are "burning down the house" or achievable with moderate air cooling.

As it has been for the last few generations I think the top of the stack parts for AMD and Intel are once again going to be very comparable.
8+32 refresh will still come and increase the performance lead 😀
 

gdansk

Platinum Member
Feb 8, 2011
2,478
3,373
136
🤔 Really don't know what to make of that. Looks like for embarassingly parallel workloads it comes down to Z5's all core boost and whether or not desktop Arrow Lake has SMT. Or if AVX-512 comes out to play.

But the team behind Skymont shows that x64 isn't dead. "Throw more decoders at it bro, maybe it'll keep scaling." And lo, it did (so far).
 

Saylick

Diamond Member
Sep 10, 2012
3,372
7,103
136
Comparatively Z4c should have more SRAM in core due to xboxhueg opcache so SKT should have an area advantage, particularly on N3b.
I wasn't thinking about going super detailed with estimating what size Zen 4c would be if ported to TSMC N3b by breaking out the logic and SRAM components. Realistically, would have used TSMC's 1.35x higher density and called it a day.

But yeah, Zen has a disadvantage due to how much cache AMD likes to use with their cores. Meanwhile it's the reverse with Skymont and its lack of L3 (I think?).

🤔 Really don't know what to make of that. Looks like for embarassingly parallel workloads it comes down to Z5's all core boost and whether or not desktop Arrow Lake has SMT. Or if AVX-512 comes out to play.

But the team behind Skymont shows that x64 isn't dead. "Throw more decoders at it bro, maybe it'll keep scaling." And lo, it did (so far).
At some point I have to imagine all designs have to converge. If Pat promotes the Mont team and they scrap the P cores in favor of scaling up the E cores to hit higher PPA, I suspect the E cores will eventually hit a wall of diminishing returns that will make it basically on par with Zen 5. I'm specifically using Zen 5 in this example because it clearly has better PPA than Lion Cove imo.
 

majord

Senior member
Jul 26, 2015
441
529
136
Did he not even talk about Arrow lake or did I miss something ? (other than mentioning it before going on about Panther lake)

Guess I'll hit up the slides
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |