Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 329 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
686
576
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E08 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Arrow Lake Refresh (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXDesktop OnlyMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2025 ?Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E8P + 32E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ??8 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)

 

Attachments

  • PantherLake.png
    283.5 KB · Views: 23,980
  • LNL.png
    881.8 KB · Views: 25,452
Last edited:

adroc_thurston

Diamond Member
Jul 2, 2023
3,298
4,721
96
Reactions: Ghostsonplanets

H433x0n

Golden Member
Mar 15, 2023
1,060
1,233
96
I'm super happy with the results. Lion Cove is definitively mid, Skymont exceeds expectations by a mile. Went from feeling bear-ish about Intel's future to optimistic about the next 2 years.

Intel definitely hangs onto the 1T performance crown for another 2 years.
 

poke01

Golden Member
Mar 8, 2022
1,337
1,505
106
Lunar Lake is the only one rumored to have a fanless option so the choice is pretty much made for me. I think it'll be good enough to replace my M1 MBA (if it exists).
Funny thing is battery life is going to be similar between M1 and Lunar. It’s not going be an upgrade in that department
 

poke01

Golden Member
Mar 8, 2022
1,337
1,505
106
I think for my laptop upgrade I’m going to wait till the holiday season. It’s either going to be a MacBook Air M3 or Lunar Lake Lenovo.

Depends on what gets revealed at WWDC next week. But for Windows folks only (good luck with Win 11 24H2), Lunar is great.
 
Reactions: Ghostsonplanets

Hulk

Diamond Member
Oct 9, 1999
4,367
2,232
136
This is a tough paragraph for me. HT IPC improved by 30%?

This Lion Cove architecture also aligns with performance increases, boasting a predicted double-digit bump in IPC over the older Redwood Cove generation. This uplift is noticed, especially in the betterment of its hyper-threading, whereby improved IPC by 30%, dynamic power efficiency improved by 20%, and previous technologies, in balancing, without increasing the core area, in a commitment of Intel to better performance, within existing physical constraints.
 

majord

Senior member
Jul 26, 2015
441
529
136
Wow overwhelming. My personal take aways

(for Lion cove)
- Intel finally ditched the unified scheduler
-Finally moved to process agnostic/portable phy floorplan.

Considering these significant changes , Lion cove isn't bad.. I guess they've been honing this for a while now with the Mont's so it's too surprising . I am probably most surprised at the frequencies in the twitter (?) leak before given the changes, but where are the official numbers? is there an SKU chart? No regression on P core either speaks volumes for N3P , or intel's ability to scale frequency with this

Still confused overall though without seeing the SKU freq's and which have HT which Don't , etc.

Seems peak ST performance will be neck and neck for Zen 5 and Lion cove at the supposed frequencies?


The convergence in IPC and frequency capability of the Two cores, i'm starting to wonder if there's much point. How would a density optimised Lion cove core that tops out at ~4Ghz (yes, i.e like Zen c) compare to Skymont?


-50th edit; would LOVE to see a side by side full uARCH block diagram of Lion vs Sky , hopefully Chips n Cheese does them up soon based off the slides
 
Last edited:

gdansk

Platinum Member
Feb 8, 2011
2,478
3,373
136
This is a tough paragraph for me. HT IPC improved by 30%?

This Lion Cove architecture also aligns with performance increases, boasting a predicted double-digit bump in IPC over the older Redwood Cove generation. This uplift is noticed, especially in the betterment of its hyper-threading, whereby improved IPC by 30%, dynamic power efficiency improved by 20%, and previous technologies, in balancing, without increasing the core area, in a commitment of Intel to better performance, within existing physical constraints.
I couldn't make sense of it either but Saylick explained it
 

Ghostsonplanets

Senior member
Mar 1, 2024
529
926
96
Wait, I just realized: How TF will Intel sell Arrow Lake-U next year? Lunar Lake is straight up loads better and Skymont E core literally is faster than Redwood Cove P Core on ARL-U. Not even Intel 3 perf/W bump can save it.

From a consumer PoV, it makes no sense to choose such inferior SoC. Unless Intel prices it at mainstream/budget level.
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |