Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 333 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
686
576
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E08 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Arrow Lake Refresh (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXDesktop OnlyMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2025 ?Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E8P + 32E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ??8 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)

 

Attachments

  • PantherLake.png
    283.5 KB · Views: 23,984
  • LNL.png
    881.8 KB · Views: 25,456
Last edited:

Khato

Golden Member
Jul 15, 2001
1,225
281
136
They're 144c and still 200W and Bergamo still wins.
Please.
Right on 144C, quite wrong on the TDP. As usual, you don't have actual facts, just a random assortment of internet rumors.

And yes, I know that I'm not backing up my claims either. Sorry, but obviously I can't post confidential information from work to back up my assertions. Hence why I always play coy with calling out blatant fabrications or tacitly acknowledging genuine information without getting into specifics.
 
Reactions: ashFTW

vanplayer

Junior Member
May 9, 2024
9
17
36
The atom core is good. But some Intel users might anyway decide to shut them down to gain better P core OC and compatibility in some situation.

And if you care atom core enough, you should have known E core IPC is already quite good, compare Gracemont to Goldencove is just 16% lower on INT, and 38% lower on FP due to less FPU resources.

Guess why Intel compare Skymont to LP Crestmont in Meteorlake which lacks L3 cache.

 
Last edited:
Reactions: adroc_thurston

H433x0n

Golden Member
Mar 15, 2023
1,074
1,282
96
The atom core is good. But some Intel users might anyway decide to shut them down to gain better P core OC and compatibility in some situation.

And if you care atom core enough, you should have known E core IPC is already quite good, compare Gracemont to Goldencove is just 16% lower on INT, and 38% lower on FP due to less FPU resources.

Guess why Intel compare Skymont to LP Crestmont in Meteorlake which lacks L3 cache.

View attachment 100431
This is definitely a hot take.
 

desrever

Member
Nov 6, 2021
122
302
106
If we go by AMD and Intel's numbers, Arrow lake looks like it will lose single thread performance to Zen 5. I don't know why people care so much about the e-cores.
 

H433x0n

Golden Member
Mar 15, 2023
1,074
1,282
96
If we go by AMD and Intel's numbers, Arrow lake looks like it will lose single thread performance to Zen 5. I don't know why people care so much about the e-cores.
No, taking their numbers at face value Zen 5 arguably doesn’t even beat RPL in 1T performance.

Their numbers for WebXprt, Speedometer and cinememe have it tied or behind RPL in the 1T tests. They didn’t even publish GB5 or GB6 1T numbers, they cherry picked some subtests so I doubt it beats it in Geekbench.

Edit: forgot to mention this means Skymont could beat Zen 5 isoclocks in some of these tests
 

SpudLobby

Senior member
May 18, 2022
963
660
106
If we go by AMD and Intel's numbers, Arrow lake looks like it will lose single thread performance to Zen 5. I don't know why people care so much about the e-cores.
Well it’s a big uplift and even if they’re not “small” anymore architecturally, they’re definitely still physically smaller than chungus P cores but now have RPL IPC with power gains over that one iso-node. It’s a good tradeoff point finally and it’s fun to watch.

Bonus is that in LP E configs they’re a good crutch if Intel can’t sort ring idle power out, without making UX terrible.
 

desrever

Member
Nov 6, 2021
122
302
106
Well it’s a big uplift and even if they’re not “small” anymore architecturally, they’re definitely still physically smaller than chungus P cores but now have RPL IPC with power gains over that one iso-node. It’s a good tradeoff point finally and it’s fun to watch.

Bonus is that in LP E configs they’re a good crutch if Intel can’t sort ring idle power out, without making UX terrible.
E cores will be fine in terms of performance but if they can't win in 1T, they'd lose all the gaming benchmarks before x3d even launch
 
Reactions: Joe NYC

H433x0n

Golden Member
Mar 15, 2023
1,074
1,282
96
If you say so lol. Zen 5 will clock the same as zen 4 at least, ARL will have a clock regression and the IPC uplift is pretty meh probably be single digit improvement gen on gen.
Do the napkin math, if ARL-S isn’t a regression in 1T it’s not losing to Zen 5.
 

vanplayer

Junior Member
May 9, 2024
9
17
36
If we go by AMD and Intel's numbers, Arrow lake looks like it will lose single thread performance to Zen 5. I don't know why people care so much about the e-cores.
I have strong feeling ST would be head to head, but Intel would have overall lower power consumption this time unlike Raptorlake which overload too much and wreck.
 

H433x0n

Golden Member
Mar 15, 2023
1,074
1,282
96
I have strong feeling ST would be head to head, but Intel would have overall lower power consumption this time unlike Raptorlake which overload too much and wreck.
Head to head in what test? This is where things currently stand







Per AMD’s slides




Do the math and you’ll come up with Zen 5 reaching ~parity with RPL in 1T benchmarks. It’s entirely possible that ARL-S ecore Skymont matches Zen 5 iso clocks in some of these tests.
 

Markfw

Moderator Emeritus, Elite Member
May 16, 2002
25,761
14,786
136
Reactions: carancho

HurleyBird

Platinum Member
Apr 22, 2003
2,727
1,342
136
Nope, it won't. AMD's IPC numbers are fudged.

And Intel's aren't?

Smart money is that a small fudge factor exists for both.

Which means IPC is likely to be close enough that the winner comes down to benchmark selection more than anything.

AMD of course should win by a significant margin if we shift from 1t IPC to 1c IPC, with the deletion of hyperthreading.

The two big questions are how badly that deletion hurts Intel, and how well Lion Cove clocks.

Since I haven't seen any mention of AVX512 yet, I'm assuming it's still a no show for consumer chips?
 
Reactions: carancho and Elfear

H433x0n

Golden Member
Mar 15, 2023
1,074
1,282
96
No, its not where things currently stand. That not using the "fixed" bios supplied by Intel. THATS current. Got results from that ?
That doesn’t affect 1T performance. Zen 5 will definitely outperform RPL in nT workloads and possibly ARL too.

We’re talking about single thread performance which is not impacted at all by power consumption or current limits on desktop.
 
Reactions: carancho

H433x0n

Golden Member
Mar 15, 2023
1,074
1,282
96
And Intel's aren't?

Smart money is that a small fudge factor exists for both.

Which means IPC is likely to be close enough that the winner comes down to benchmark selection more than anything.

AMD of course should win by a significant margin if we shift from 1t IPC to 1c IPC, with the deletion of hyperthreading.

The two big questions are how badly that deletion hurts Intel, and how well Lion Cove clocks.

Since I haven't seen any mention of AVX512 yet, I'm assuming it's still a no show for consumer chips?
We don’t even know if ARL-S doesn’t have HT, there were hints that may not be the case anymore.
 
Reactions: invisible_city
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |