Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 356 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
695
601
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E08 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ?12 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)



 

Attachments

  • PantherLake.png
    283.5 KB · Views: 24,004
  • LNL.png
    881.8 KB · Views: 25,487
Last edited:

DrMrLordX

Lifer
Apr 27, 2000
22,031
11,616
136
edit: somehow a quote wandered over from the Zen5 thread and I'm not sure how it got here. Either that or I was in an off-topic conversation so I've deleted my response.

6+8 is both TSMC and Intel.

Huh, how will Intel handle sourcing the 6+8 tile from different foundry companies? Are they going to mix and match within the same SKU or parcel them out to different SKUs based on the source foundry?
 
Last edited:

Elfear

Diamond Member
May 30, 2004
7,126
738
126
Best case they might even add another 15% IPC. LNC in ARL isn't just an updated LNC in LNL. They were working in parallel from the beginning. Those two might be entirely different beasts for all we know.

Also remember, LNC in LNL isn't allowed to stretch it's legs due to power/thermal constraints. Not so in case of ARL. Some free bonus there too.

We may just receive 2% to 3% IPC uplift (over LNC in LNL) like you said. Or we may end up with a massive 30% uplift. Who knows? We can only speculate until they say so.

Has a 15-30% IPC increase ever happened on the same basic core design? Genuinely asking here. That would be like the same IPC increase we saw from RWC --> LNC on the low-end or Cypress Cove to LNC on the high-end. That seems so far outside the realm of possible...
 
Reactions: Tlh97 and Racan

SiliconFly

Golden Member
Mar 10, 2023
1,541
896
96
Has a 15-30% IPC increase ever happened on the same basic core design? Genuinely asking here. That would be like the same IPC increase we saw from RWC --> LNC on the low-end or Cypress Cove to LNC on the high-end. That seems so far outside the realm of possible...
Interesting. Someone just forgot about the recent Crestmont to Skymont.

Things happen. Both with AMD & Intel. We can never be sure of what to expect in this climate.
 

Ghostsonplanets

Senior member
Mar 1, 2024
688
1,113
96
Huh, how will Intel handle sourcing the 6+8 tile from different foundry companies? Are they going to mix and match within the same SKU or parcel them out to different SKUs based on the source foundry?
From what I know, N3B 6+8 should be the highest volume at first, with 20A being lower volume. 20A ramp up later, with (maybe), getting the lions share of 6+8 die volume.

And 6+8 20A die is exclusively Desktop. Mobile is only 6+8 TSMC N3B.
 
Reactions: carancho

H433x0n

Golden Member
Mar 15, 2023
1,184
1,538
96
Has a 15-30% IPC increase ever happened on the same basic core design? Genuinely asking here. That would be like the same IPC increase we saw from RWC --> LNC on the low-end or Cypress Cove to LNC on the high-end. That seems so far outside the realm of possible...
The odds of that are like 0.01%. Realistically a 2-5% above Lunar Lake’s variant of Lion cove is best case scenario for ARL-S.

The only way I can see it exceeding that is if they somehow reduce latency significantly with the SoC tile designed for desktop.

Edit: Why am I being downvoted / reported for a benign statement?
 
Last edited:

Thunder 57

Platinum Member
Aug 19, 2007
2,975
4,545
136
The odds of that are like 0.01%. Realistically a 2-5% above Lunar Lake’s variant of Lion cove is best case scenario for ARL-S.

The only way I can see it exceeding that is if they somehow reduce latency significantly with the SoC tile designed for desktop.

Edit: Why am I being downvoted / reported for a benign statement?

People gonna hate. Have yourself an upvote.
 
Jun 4, 2024
116
146
71
The odds of that are like 0.01%. Realistically a 2-5% above Lunar Lake’s variant of Lion cove is best case scenario for ARL-S.

The only way I can see it exceeding that is if they somehow reduce latency significantly with the SoC tile designed for desktop.

Edit: Why am I being downvoted / reported for a benign statement?
I agree, expecting 2x performance gain by moving from low power design to high power design seems wildly optimistic. If they include HT, I could see MT gaining that extra 20%, but IPC for single threaded workloads isn't changing by an additional 15%.
 

Hulk

Diamond Member
Oct 9, 1999
4,472
2,435
136
Has a 15-30% IPC increase ever happened on the same basic core design? Genuinely asking here. That would be like the same IPC increase we saw from RWC --> LNC on the low-end or Cypress Cove to LNC on the high-end. That seems so far outside the realm of possible...
No. We used to call that a "tick." 5% is all we ever saw and it was usually due to very minor core/cache/memory subsystem changes.

Golden Cove to Raptor Cove would have been a tick back in the tock-tock days. Large L2 cache, more cores, and a process stepping.
 
Last edited:
Reactions: Tlh97 and carancho

Hulk

Diamond Member
Oct 9, 1999
4,472
2,435
136
Okay, that answers my question: they won't mix & match within the same SKU. Which makes sense.
Could you imagine the mess that would cause? Kind of like SSD manufacturers using different NAND vendors. "Hey, did you get the Intel 20A or the TMSC N3B CPU tile on your ARL? I hear the memory controller is generally better on the Intel node but the TMSC clocks higher with less voltage. I'm gonna return mine and try again."
 

Joe NYC

Platinum Member
Jun 26, 2021
2,484
3,383
106
Interesting. Someone just forgot about the recent Crestmont to Skymont.

Things happen. Both with AMD & Intel. We can never be sure of what to expect in this climate.

It is much easier to increase IPC when starting from low IPC base (previous gen E-Cores) than from a much higher base (previous gen P-Cores)

Especially when given extra transistors, extra die area, extra power budget.
 
Reactions: Racan

DrMrLordX

Lifer
Apr 27, 2000
22,031
11,616
136
Could you imagine the mess that would cause? Kind of like SSD manufacturers using different NAND vendors. "Hey, did you get the Intel 20A or the TMSC N3B CPU tile on your ARL? I hear the memory controller is generally better on the Intel node but the TMSC clocks higher with less voltage. I'm gonna return mine and try again."
Apple did it years ago with modems. They didn't just use different processes, they used entirely different OEMs (Intel and Qualcomm). That was quite a fiasco.
 

FlameTail

Diamond Member
Dec 15, 2021
3,883
2,321
106

LNL has 3 display pipes. One would be used for internal display of laptop, meaning two remain for the external display.

So Lunar Lake laptops can only drive 2 external displays?
 

DavidC1

Senior member
Dec 29, 2023
866
1,376
96
Interesting. Someone just forgot about the recent Crestmont to Skymont.

Things happen. Both with AMD & Intel. We can never be sure of what to expect in this climate.
But Skymont is a huge change, while you are talking about 15% gains in essentially a near identical architecture.

The expectations are insane, such as 40% for Zen 5. Arrowlake uses the same tile configuration as Meteorlake, and Lunarlake is using a much saner approach. If anything, Lunarlake's Lion Cove should be faster than Lion Cove in Arrowlake.

I'd say your conclusions are insane, but I won't because I know you still got lot to learn.
It is much easier to increase IPC when starting from low IPC base (previous gen E-Cores) than from a much higher base (previous gen P-Cores)
Sure, but Apple has got it MUCH higher and even the ARM teams. This means the E core team is on the right track(still got ways to go) while the P core team needs a drastic overhaul, maybe a complete abandonment of the basic design.

14% difference per clock with near 3x difference should be a clue for everybody.

If they continue on the same path, Novalake will be a chip where P core's only advantage is in clocks, while still having the massive 3:1 area disadvantage. If the problem is due to the P core management and members being arrogant, the only way is to embarass them to destroy their attitude. Maybe bring the difference in core size down to 2:1 and beat the P core by 15% per clock.

Imagine if Conroe was a chip where it had Netburst and Core cores in one silicon.
 
Last edited:
Reactions: Racan

SiliconFly

Golden Member
Mar 10, 2023
1,541
896
96
...essentially a near identical architecture. ...
That is the point of contention. I'm saying there is a good chance that ARL's LNC can have more significant changed over LNL's LNC. And as of now, only Intel know the facts. We can only assume either one way or the other...
 

CouncilorIrissa

Senior member
Jul 28, 2023
531
2,059
96
I'd wager there’s a very small intersection between people who benefit from LNL's performance on the go AND those doing the type of work that requires 4 or more monitors. If you have so many monitors it’s very likely that you have an actual workstation next to them.
 
Reactions: Joe NYC

Wolverine2349

Senior member
Oct 9, 2022
395
121
76
Is Arrow Lake going to inherit exact same core to core latency as Meteor Lake or could it be improved?

How would you say Meteor Lake core to core latency is compared to 13th and 14th Gen and also AMD core to core latency within a CCX?

It seems AMD core to core latency is great within a CCX but once it leaves a CCX/CCD< it is a massive 3 to 4 times increase hit.

Intel seems more consistent though still a 10-20% increase going to the e-cores rather than 300-400% increase AMD has crossing CCX/CCD/

Though could Arrow Lake make core to core latency relatively equal between P and e-cores where as 12th to 14th Gen has like a 15-20% increase going form P to e-core.

I noticed slides for Meteor Lake seemed to suggest yes, but at same time some core to core latency form P core threads seemed a little more inconsistent as in 15-20% hit in MTL than 13th and 14th Gen. Though not sure if they can fix that and maybe that was poor hyper threading latency implementation crossing threads on P cores of Meteor Lake?

And if latency is consistent and good between all cores P and E within a single tile on Arrow Lake would it likely be the better choice than AMD Ryzen 9000 for CPU thread heavy games??
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |