Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 357 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
686
576
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E08 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Arrow Lake Refresh (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXDesktop OnlyMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2025 ?Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E8P + 32E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ??8 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)

 

Attachments

  • PantherLake.png
    283.5 KB · Views: 23,983
  • LNL.png
    881.8 KB · Views: 25,455
Last edited:

DavidC1

Senior member
Dec 29, 2023
387
576
96
That is the point of contention. I'm saying there is a good chance that ARL's LNC can have more significant changed over LNL's LNC. And as of now, only Intel know the facts. We can only assume either one way or the other...
There is no point of contention for the most part. Expecting anything more than 3%, in the best case scenario is the point of contention.

Arrowlake will need extra work just to be even with Lunarlake, due to the MOAR TILES setup it inherits from Meteorlake.
Is Arrow Lake going to inherit exact same core to core latency as Meteor Lake or could it be improved?
I would not be surprised if it's improved, because MTL is a product that was plagued with delays. There are micro-level details and decisions they had to make that we don't know.
 

Doug S

Platinum Member
Feb 8, 2020
2,486
4,048
136
Apple did it years ago with modems. They didn't just use different processes, they used entirely different OEMs (Intel and Qualcomm). That was quite a fiasco.

That didn't induce people to buy and return - a given SKU (based on country, and in the US which carrier it was purchased for / whether it was unlocked) determined whether you got the Qualcomm or Intel modem. You could buy all the iPhones you wanted that were sold for AT&T or T-mobile hoping to get one with a Qualcomm modem but it would be futile. You had to buy the unlocked version or one sold for the Verizon/Sprint networks that required CDMA.

A better comparison would be the iPhone 6S generation, which had A9s made by both Samsung and TSMC. The ones with TSMC were reported to run a bit cooler and have a bit better battery life, but there was no relationship between the SKU you ordered and whose chip would be in it, it was purely luck of the draw. That meant there really were people buying and returning to get the TSMC chip.

So I don't doubt people would do the same with Intel's chips if 1) there was a meaningful difference and 2) there was a way to tell without taking off the heat spreader and voiding warranty. If they are not selling the different ones under the same SKU that may be because the differences are meaningful (more meaningful than the Samsung vs TSMC A9 thing was) so if one was better on power they'd use it for the lower TDP ranges and if the other was better on frequency they'd use it for the high end parts.
 

Hans Gruber

Platinum Member
Dec 23, 2006
2,218
1,153
136
Wikipedia claims the IPC gain for Alder Lake was 18%. Considering that was a node shrink CPU on a new design with e-cores and p-cores. Is it safe to assume that Arrow Lake will have a comparable 18% IPC gain over Raptor Lake with another major node shrink? Then there is the 20A node performance uplift if there is one. The non K Arrow Lake chips are said to have a 65w TDP.
 

H433x0n

Golden Member
Mar 15, 2023
1,068
1,272
96
Wikipedia claims the IPC gain for Alder Lake was 18%. Considering that was a node shrink CPU on a new design with e-cores and p-cores. Is it safe to assume that Arrow Lake will have a comparable 18% IPC gain over Raptor Lake with another major node shrink? Then there is the 20A node performance uplift if there is one. The non K Arrow Lake chips are said to have a 65w TDP.
No, I’d set my expectations at +14% for Lion Cove in ARL-S. There’s some chance it could be a few percent higher or there’s a non zero chance it could even be lower (depending if memory latency is truly atrocious).

Typically Intel aims for 20% and will usually get very close (Golden Cove was +19%, Cypress Cove was +19% too iirc). For all we know the desktop version of Lion Cove is upper teens but gets hit hard with a latency penalty.
 
Reactions: Elfear

Wolverine2349

Senior member
Oct 9, 2022
247
90
61
I find it interesting that AMD is going to release Ryzen 9000 X3D chips in September?

At first it was maybe they could make it by end of year or into 2025. But suddenly AMD going to release them in September?

Which makes me wonder is there something about Intel Arrow Lake that is going to beat AMD or even beat them by a lot maybe even in gaming? If not why would AMD rush to release X3D chips before Arrow Lake is released? They want sales of X3D before Arrow Lake hits in October and ties or beats X3D?

Is there something known we do not know,. Or are they trying to put dagger in Intel by capturing market share early and Intel really will not Conroe like slaughter AMD with Arrow Lake?
 

Thunder 57

Platinum Member
Aug 19, 2007
2,814
4,103
136
I find it interesting that AMD is going to release Ryzen 9000 X3D chips in September?

At first it was maybe they could make it by end of year or into 2025. But suddenly AMD going to release them in September?

Which makes me wonder is there something about Intel Arrow Lake that is going to beat AMD or even beat them by a lot maybe even in gaming? If not why would AMD rush to release X3D chips before Arrow Lake is released? They want sales of X3D before Arrow Lake hits in October and ties or beats X3D?

Is there something known we do not know,. Or are they trying to put dagger in Intel by capturing market share early and Intel really will not Conroe like slaughter AMD with Arrow Lake?

Conroe moments have only happened when someones current uarch is garbage. I would not be expecting anything close to that.
 

SiliconFly

Golden Member
Mar 10, 2023
1,216
629
96
I find it interesting that AMD is going to release Ryzen 9000 X3D chips in September?

At first it was maybe they could make it by end of year or into 2025. But suddenly AMD going to release them in September?

Which makes me wonder is there something about Intel Arrow Lake that is going to beat AMD or even beat them by a lot maybe even in gaming? If not why would AMD rush to release X3D chips before Arrow Lake is released? They want sales of X3D before Arrow Lake hits in October and ties or beats X3D?

Is there something known we do not know,. Or are they trying to put dagger in Intel by capturing market share early and Intel really will not Conroe like slaughter AMD with Arrow Lake?
It appears Zen5 won't be beating ARL by any significant margins. So, in order to differentiate, they're rushing X3D to make some difference.
 
Reactions: Henry swagger

lightisgood

Senior member
May 27, 2022
206
89
71
I find it interesting that AMD is going to release Ryzen 9000 X3D chips in September?

At first it was maybe they could make it by end of year or into 2025. But suddenly AMD going to release them in September?

Which makes me wonder is there something about Intel Arrow Lake that is going to beat AMD or even beat them by a lot maybe even in gaming? If not why would AMD rush to release X3D chips before Arrow Lake is released? They want sales of X3D before Arrow Lake hits in October and ties or beats X3D?

Is there something known we do not know,. Or are they trying to put dagger in Intel by capturing market share early and Intel really will not Conroe like slaughter AMD with Arrow Lake?

Granite Rapids is probably competitive product.
Unsold Turin-X should be resold as X3D.
 

Wolverine2349

Senior member
Oct 9, 2022
247
90
61
Conroe moments have only happened when someones current uarch is garbage. I would not be expecting anything close to that.

What was the garbage arch during Intel Conroe moment almost 18 years ago in July 2006? AMD had Athlon 64 dual core which was considered great at the time? Are you saying AMD Athlon 64 X2 uArch was garbage? Or more that Intel Netburst UArch was garbage?

Intel's current 10nm Raptor Lake uARCH is not gharbage in terms of performance and in fact is quite competive. But it is garbaghe because of stability/fast degradation issues and insane heat output. Netburst was stable, but output too much heat and had garbage IPC desp[ite high clocks.
 

Wolverine2349

Senior member
Oct 9, 2022
247
90
61
Granite Rapids is probably competitive product.
Unsold Turin-X should be resold as X3D.


So AMD basically determining release cycle based on enterprise competition even for the desktop market?

Cause AMD had competition from Intel 13th to 14th Gen or the performance front in desktop and mobile market regarding trading blows with Zen 4 in overall performance. Though in enterprise and server space they did not have such competition.
 

Thunder 57

Platinum Member
Aug 19, 2007
2,814
4,103
136
What was the garbage arch during Intel Conroe moment almost 18 years ago in July 2006? AMD had Athlon 64 dual core which was considered great at the time? Are you saying AMD Athlon 64 X2 uArch was garbage? Or more that Intel Netburst UArch was garbage?

Intel's current 10nm Raptor Lake uARCH is not gharbage in terms of performance and in fact is quite competive. But it is garbaghe because of stability/fast degradation issues and insane heat output. Netburst was stable, but output too much heat and had garbage IPC desp[ite high clocks.

I see I needed to be more clear. By "someone" I meant the compaines current uarch. Intel had Netburst garbage then went to Conroe. AMD had Bulldozer garbage then went to Zen. So what I was trying to say is that because RPL isn't garbage, don't expect a Conroe moment.
 
Reactions: SiliconFly

Joe NYC

Platinum Member
Jun 26, 2021
2,331
2,942
106
What was the garbage arch during Intel Conroe moment almost 18 years ago in July 2006? AMD had Athlon 64 dual core which was considered great at the time? Are you saying AMD Athlon 64 X2 uArch was garbage? Or more that Intel Netburst UArch was garbage?

The garbage processor was Pentium 4. Athlon 64 surpassed it, and then Conroe leapfrogged to the lead with a brand new architecture.

Intel's current 10nm Raptor Lake uARCH is not gharbage in terms of performance and in fact is quite competive. But it is garbaghe because of stability/fast degradation issues and insane heat output. Netburst was stable, but output too much heat and had garbage IPC desp[ite high clocks.

There are some parallels between P4 (Netburst) and Raptor Lake in needing excessive power to remain competitive.

But Raptor Lake is not exactly the same dead end as Netburst was (that needed a Conroe moment). Intel is still evolving the P cores and is still getting evolutionary uplift in LNC.
 
Reactions: carancho

Wolverine2349

Senior member
Oct 9, 2022
247
90
61
The garbage processor was Pentium 4. Athlon 64 surpassed it, and then Conroe leapfrogged to the lead with a brand new architecture.



There are some parallels between P4 (Netburst) and Raptor Lake in needing excessive power to remain competitive.

But Raptor Lake is not exactly the same dead end as Netburst was (that needed a Conroe moment). Intel is still evolving the P cores and is still getting evolutionary uplift in LNC.

Though didn't Conroe effectively blow out Athlon 64. It had 25-30% better IPC than Athlon 64 and could overclock easily and used less power.

Certainly not close to the blowout Sandy Bridge and above was over Bulldozer and its variants in double or more IPC.

Or the IPC blowout K8 had over Netburst by like 60-70%, though K8 clocked much lower but still spanked it.

What I mean by Conroe moment, does not necessarily mean there has to be a garbage arch, but could one company one up the other in these current times and have 25-30% higher IPC at higher clocks and less power draw. That's what Conroe did to K8 effectively right?
 
Last edited:
Reactions: Joe NYC

Markfw

Moderator Emeritus, Elite Member
May 16, 2002
25,741
14,772
136
Though didn't Conroe effectively blow out Athlon 64. It had 25-30% better IPC than Athlon 64 and could overclock easily and used less power.

Certainly not close to the blowout Sandy Bridge and above was over Bulldozer and its variants in double or more IPC.

Or the IPC blowout K8 had over Netburst by like 60-70%, though K8 clocked much lower but still spanked it.

What I mean by Conroe moment, does not necessarily mean there has to be a garbage arch, but could one company one up the other in these current times and have 25-30% IPC at higher clocks and less power draw. That's what Conroe did to K8 effectively right?
I don't want this OT discussion to keep going, but I had to mention the reason I switched. There was a 1.86 ghz conroe that would oc 100% to about 3.5 ghz. Thats why I switched to Intel at that time.
 
Reactions: DAPUNISHER

Thunder 57

Platinum Member
Aug 19, 2007
2,814
4,103
136
...What I mean by Conroe moment, does not necessarily mean there has to be a garbage arch, but could one company one up the other in these current times and have 25-30% IPC at higher clocks and less power draw. That's what Conroe did to K8 effectively right?

I'll try to steer us back on topic.

I would say it's quite unlikely. AMD and Intel have already released IPC numbers. We also have a pretty good idea about clock speeds and power consumption. It will probably be another close race. I'd expect AMD to win in ST and Intel in nT (Skymont looks good). Power use should be closer but I think AMD keeps the advantage there.
 

ondma

Platinum Member
Mar 18, 2018
2,770
1,351
136
I find it interesting that AMD is going to release Ryzen 9000 X3D chips in September?

At first it was maybe they could make it by end of year or into 2025. But suddenly AMD going to release them in September?

Which makes me wonder is there something about Intel Arrow Lake that is going to beat AMD or even beat them by a lot maybe even in gaming? If not why would AMD rush to release X3D chips before Arrow Lake is released? They want sales of X3D before Arrow Lake hits in October and ties or beats X3D?

Is there something known we do not know,. Or are they trying to put dagger in Intel by capturing market share early and Intel really will not Conroe like slaughter AMD with Arrow Lake?
AFAIK, this is just an unconfirmed rumor from a single source. If true, it may mean that ARL will be at least competitive. (I havent seen any indications that ARL will decisively beat Zen 5). Otherwise, I would think they would (as in past releases) wait to get as many sales as possible from vanilla Zen 5 before releasing the X3D chips. This is all speculation though. No matter what, it will be a disaster for Intel if Zen 5 X3D chips release before ARL.
 

H433x0n

Golden Member
Mar 15, 2023
1,068
1,272
96
AMD and Intel have already released IPC numbers
Sort of. I’d argue neither really provided the data that we care about.

We also have a pretty good idea about clock speeds and power consumption.
Clocks yes, power consumption no.

It will probably be another close race. I'd expect AMD to win in ST and Intel in nT (Skymont looks good). Power use should be closer but I think AMD keeps the advantage there.
What 1T test? The 14900K was ~15% ahead of Zen 4 in a lot of the 1T benchmarks. AMD did provide numbers for a few of them and the ones they provided put Zen 5 on par with 14900K (WebXprt, Speedometer & CB R23). It looks like the 14900K will have a slight lead in WebXprt & Speedometer with Zen 5 potentially getting the win in CB R23 1T.
 
Reactions: MoogleW

Thunder 57

Platinum Member
Aug 19, 2007
2,814
4,103
136
What 1T test? The 14900K was ~15% ahead of Zen 4 in a lot of the 1T benchmarks. AMD did provide numbers for a few of them and the ones they provided put Zen 5 on par with 14900K (WebXprt, Speedometer & CB R23). It looks like the 14900K will have a slight lead in WebXprt & Speedometer with Zen 5 potentially getting the win in CB R23 1T.

RPL was clocked to the moon. I don't expect similar clocks on ARL. It's just a guessing game at this point.
 

H433x0n

Golden Member
Mar 15, 2023
1,068
1,272
96
RPL was clocked to the moon. I don't expect similar clocks on ARL. It's just a guessing game at this point.
I think it’ll be the opposite with Zen 5 having a lead in nT performance. Their blender IPC increase was >20% and Zen 5 retains SMT. It may end up close if ARL does ship with HT, but without it Zen 5 will win blender benchmarks by 10% or more.
 

Thunder 57

Platinum Member
Aug 19, 2007
2,814
4,103
136
I think it’ll be the opposite with Zen 5 having a lead in nT performance. Their blender IPC increase was >20% and Zen 5 retains SMT. It may end up close if ARL does ship with HT, but without it Zen 5 will win blender benchmarks by 10% or more.

I forgot there were rumors of ARL without HT. Without it I think they would lose, but it might still be close. Fun times ahead.
 
Reactions: Tlh97 and MoogleW

Hans Gruber

Platinum Member
Dec 23, 2006
2,218
1,153
136
I don't want this OT discussion to keep going, but I had to mention the reason I switched. There was a 1.86 ghz conroe that would oc 100% to about 3.5 ghz. Thats why I switched to Intel at that time.
It was 3.2ghz out of the box. I had the E6300. The clock was 1.86ghz. You could simply switch the multiplier and it would run 3.2ghz with no change in voltage or anything. The thing ran for 10 years OC'd from day 1 @ 3.2ghz. My favorite was my Q6600 quad core that I ran @ 3.6ghz all day with DDR2 1000mhz memory.

The later Conroe chips could clock higher than 3.2ghz but the early one's in 2006 did 3.2ghz all day.
 
Last edited:

Hulk

Diamond Member
Oct 9, 1999
4,373
2,251
136
I think it’ll be the opposite with Zen 5 having a lead in nT performance. Their blender IPC increase was >20% and Zen 5 retains SMT. It may end up close if ARL does ship with HT, but without it Zen 5 will win blender benchmarks by 10% or more.
If Skymont does perform like Raptor Cove then perhaps not.

Skymont at 4.6GHz and Lion Cove at nT 5.4GHz will produce a CB R23 MT score of around 48,000. Zen 5 should be at 45,000. Blender is quite similar to CB in terms of MT scaling.

And that is ARL without HT. The huge IPC uplift of Skymont is a game changer for MT performance. As I predicted long ago those area efficient E cores could start to be a problem for AMD with MT performance.

Skymont is nearly a Conroe moment for Intel. I'm transcribing the lead engineers video on Skymont and at times it almost seems as though they were surprised at how effective some of the architectural changes turned out to be. They made some good decisions with Skymont and perhaps got a little lucky. But honestly if we were discussing the possibility of Skymont having Raptor Cove IPC before Intel released this information I would have said, "impossible." Skymont is making the Intel hybrid approach work.

I know this is close to blasphemy for me to write in a CPU enthusiast forum discussion. but I would be totally fine with ARL having the option of 32 or 64GB on package memory. No more struggling to find vendor qualified memory for your rig, fine tuning settings, etc.. It would just be stable and work out of the box. Of course if they charged an arm and a leg for it I'd change my tune real fast! Perhaps we could eventually move to tiny RAM chips that plug right into the package and still get the advantages of on package memory at some point in the future?
 
Reactions: carancho

FlameTail

Diamond Member
Dec 15, 2021
3,157
1,804
106
I know this is close to blasphemy for me to write in a CPU enthusiast forum discussion. but I would be totally fine with ARL having the option of 32 or 64GB on package memory.
(bringing the guillotine...)
No more struggling to find vendor qualified memory for your rig, fine tuning settings, etc.. It would just be stable and work out of the box. Of course if they charged an arm and a leg for it I'd change my tune real fast!
Pretty sure they will.
Perhaps we could eventually move to tiny RAM chips that plug right into the package and still get the advantages of on package memory at some point in the future?
Very intriguing suggestion.

DIMMs and even CAMMs in their current forms, are extremely space inefficient. In contrast, it amazes me how dense LPDDR packages can be (32 GB in a single fingernail-sized package).
 

coercitiv

Diamond Member
Jan 24, 2014
6,393
12,824
136
Skymont at 4.6GHz and Lion Cove at nT 5.4GHz will produce a CB R23 MT score of around 48,000.
Those clocks at ~170W TDP? AFAIK this was the last info we had on power limits for ARL. I would argue that power and thermals will be very important towards establishing a MT winner.

Personally I favor ARL for the MT win simply because of the core count and the switch to TSMC, but I would not venture to guess a delta at this stage. Simply punching in IPC uplifts and max clocks (or close to max) will not get us far this time around.

Skymont is nearly a Conroe moment for Intel.
People are rightfully excited about Skymont because it shows a clear path forward for Intel even if the P core design team stumbles. That being said, when compared with the dense variant of Zen 5, Skymont won't have any meaningful advantage, at least not one we can readily identify today. The term "Conroe moment" has been so diluted on this forum that I'm starting to think all it means is "well done CPU arch". There's no leapfrogging, the competition is right next to them offering a very strong package in the same time frame.

Execution consistency from now on is still the most important metric that should be used to judge Intel, a strong architectural uplift like Skymont is just an enabler. (the same applies for AMD, but not the place for them here)
 
Last edited:

Wolverine2349

Senior member
Oct 9, 2022
247
90
61
Well based on info if Arrow Lake new leaks turn out to be as good as suggested and Lion Cove has much better IPC gain than expected and power consumption is reduced.

In that case I suppose Intel could beat AMD handily, though certainly not Conroe level moment.

I mean Zen 5 IPC uplift and more importantly real world performance appears underwhelming (with no clock speed bump_ and Raptor Cove already has like a 7% IPC advantage over Zen 4. If Lion Cove can achieve 20% IPC uplift over Raptor Cove and clocks can be similar, Intel could have a decent sized advantage and maybe closer to equal power consumption or only a little more? Maybe a 15% IPC advantage over Zen 5 and at similar clocks a 15% edge in single thread?

Though in gaming AMD has the X3D and as games love cache and that is games IPC, maybe AMD ties there but Intel maintains advantage by being equal in gaming to X3D and superior in other single thread things and better latency overall and better all around chip.

The above could you see happening? Certainly not the Conroe knockout moment as Conroe had even bigger IPC lead, faster clocks and much lower power consumption something of which all would not happen in above scenario.

Though could Intel deliver a single thread IPC knockout with Lion Cove over Zen 5 kind of like Golden Cove had 15% IPC over Zen 3? Difference being no or much less clock speed advantage but instead of much higher power draw as with Golden Cove to Zen 3, Lion Cove has similar power draw to Zen 5 or only marginally higher with 15% all around better IPC?

Could you see Arrow Lake being that good to have that advantage?
 
Reactions: SiliconFly
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |