Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 368 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
686
576
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E08 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Arrow Lake Refresh (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXDesktop OnlyMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2025 ?Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E8P + 32E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ??8 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)

 

Attachments

  • PantherLake.png
    283.5 KB · Views: 23,981
  • LNL.png
    881.8 KB · Views: 25,453
Last edited:

naukkis

Senior member
Jun 5, 2002
768
634
136
LionCove at ArrowLake will be different. Intel itself admitted that logic was removed from the LunarLake variant to save space and power consumption. One such thing is that the L1 and L2 communication bandwidth in ArrowLake will be 128B instead of 64B like in LunarLake.
Intel says the 64B's L1 and L2 communication links provide a smaller footprint, which is key for LunarLake. But ArrowLake doesn't need such compromises, where performance comes first.

128B L1-L2 bandwith is needed to allow full AVX512-bandwidth from L2. With only 64B L1-read bandwidth from AVX2 128B L1-L2 bandwidth only offers minor benefits over 64B. So expect 128B L1-L2 to come with AVX512 support and cpu's without with 64B.
 

TwistedAndy

Member
May 23, 2024
114
90
56
Lion Cover targets to be 50% more IPC over Golden Cove. Yeah here we will have an Apple Killer. The 20A saves LNC to be a Power Hungry beast.

Lion Cove is an 8-wide core; Golden Cove is 6-wide. In the ideal scenario, we can see a 30% larger peak throughput. In real apps, it's reasonable to expect a nearly 10-20% improvement in ISO performance.

You probably took 50% from the comparison of peak performance of the Lion Cove and Skymont cores, assuming that Skymont matches the Golden Cove in terms of IPC

Skymont IPC is not far from Lion Cove IPC, the proper Intel Graphs don't lie about it.
Yes, Skymont is also an 8-wide architecture. In some cases, they may show similar performance. Probably, you're referring to this slide:

 

static shock

Member
May 25, 2024
48
22
36
Years of raptor and golden coves was to prepare LNL and ARL lake. AMD have the right chip to combat both, but is still in the labs. I will go with ARL.

AMD is said in the news to adopt TSMC 2N GAA ASAP for future designs. The big IPC update was that Scary fast update that He-Man said. And the graph marks LNC to be Scary Fast too.
 
Last edited:
Reactions: inf64

AMDK11

Senior member
Jul 15, 2019
341
235
116
Exactly, but there is discussion that Lion Cove in Arrow Lake will see significant performance gains over Lion Cove in Lunar Lake. I'm rather skeptical but I'd like to understand why other folks may feel that way.
They could remove the HT logic from the core, so they could also remove the ADD, FMA, ROB, etc. transistors specifically for LunarLake.

So that it doesn't turn out that LionCove in LunarLake is the Light variant
 
Last edited:

trivik12

Senior member
Jan 26, 2006
319
288
136
I forget who from Intel it was but in one of the interviews during Computex they guaranteed laptops available for purchase in Q3. So we will know in 3 months if that is true or not.
 

lightisgood

Senior member
May 27, 2022
205
89
71
Total fake news. It’s coming in late September with more availability in October and November.

It’s was always planned late Q3/ early Q4. TSMC started the Lunar production this month.

Yes.
This news can act as supporting evidence.

 

Hans Gruber

Platinum Member
Dec 23, 2006
2,214
1,152
136
Years of raptor and golden coves was to prepare LNL and ARL lake. AMD have the right chip to combat both, but is still in the labs. I will go with ARL.

AMD is said in the news to adopt TSMC 2N GAA ASAP for future designs. The big IPC update was that Scary fast update that He-Man said. And the graph marks LNC to be Scary Fast too.
This Intel series may be very interesting. Arrow Lake 20A followed by Panther Lake 18A on the same motherboard. That's the first time in a long time where it may make sense for Intel builders to get an Arrow Lake followed by Panther Lake. TSMC makes really good silicon but they have been stumbling with 3nm. It definitely opens things up for Intel.
 
Reactions: lightisgood

Ghostsonplanets

Senior member
Mar 1, 2024
538
943
96
This Intel series may be very interesting. Arrow Lake 20A followed by Panther Lake 18A on the same motherboard. That's the first time in a long time where it may make sense for Intel builders to get an Arrow Lake followed by Panther Lake. TSMC makes really good silicon but they have been stumbling with 3nm. It definitely opens things up for Intel.
Panther Lake is Mobile only. And it doesn’t use the same mobile platform as ARL.

So laptop makers will need to make motherboard platform adjustments for PTL.
 

lightisgood

Senior member
May 27, 2022
205
89
71
PTL will also come to desktop?

I guess that PTL could lack PTL-S segments, however, be able to arrive on AIO desktop market.

> For the next generation, we will take our time to scale it up because we are going to support several segments.
 

ondma

Platinum Member
Mar 18, 2018
2,765
1,349
136
Desktop gets Arrow Lake Refresh.

Then 2026 for proper next gen for Desktop
It is actually worse than that. If ARL-R comes out 1 year after ARL, as is usual for Intel refreshes, that means nearly 2027 before a proper next gen for the desktop. This is why I keep repeating that it is imperative that ARL is better than "just ok". If it isn't, Intel is in trouble for at least 2 more years on the desktop.
 

lightisgood

Senior member
May 27, 2022
205
89
71
This is why I keep repeating that it is imperative that ARL is better than "just ok".

I'm not so pessimistic.
This leak slide imply that ARL-HX (=ARL-S) refresh must have new SoC tile at least.
In addition, we know that thanks to transition to "Sea of Cells", Intel can refresh compute tile, graphics tile and I/O tile very easily.

I think ARL-R should not be equal to RPL-R.
ARL-R should be like RPL (c.f. ADL).
However, because of tile arch, ARL-R should be more innovative (c.f. RPL vs. ADL).

 

Attachments

  • Intel-CPU-Roadmap-_-CLEVO-Leak-_-Arrow-Lake-Panther-Lake-Lunar-Lake.jpg
    200.2 KB · Views: 10

H433x0n

Golden Member
Mar 15, 2023
1,066
1,246
96
It is actually worse than that. If ARL-R comes out 1 year after ARL, as is usual for Intel refreshes, that means nearly 2027 before a proper next gen for the desktop. This is why I keep repeating that it is imperative that ARL is better than "just ok". If it isn't, Intel is in trouble for at least 2 more years on the desktop.
Intel doesn’t sell CPUs in a vacuum. It has to beat or be at parity with competitors to do well.
 

ondma

Platinum Member
Mar 18, 2018
2,765
1,349
136
I'm not so pessimistic.
This leak slide imply that ARL-HX (=ARL-S) refresh must have new SoC tile at least.
In addition, we know that thanks to transition to "Sea of Cells", Intel can refresh compute tile, graphics tile and I/O tile very easily.

I think ARL-R should not be equal to RPL-R.
ARL-R should be like RPL (c.f. ADL).
However, because of tile arch, ARL-R should be more innovative (c.f. RPL vs. ADL).

Yea, I had the same thought--- that ARL-R might be a meaningful improvement since Lion Cove is a new architecture and might have more room for improvement. However, RL wasn't branded as ADL-R, it was given a new name. That is why I am skeptical that anything branded as "refresh" will have significant improvements.
 

TwistedAndy

Member
May 23, 2024
114
90
56
It is actually worse than that. If ARL-R comes out 1 year after ARL, as is usual for Intel refreshes, that means nearly 2027 before a proper next gen for the desktop. This is why I keep repeating that it is imperative that ARL is better than "just ok". If it isn't, Intel is in trouble for at least 2 more years on the desktop.
The refreshed versions of ARL-S and ARL-HX will be announced in October 2025 (S) and January 2026 (HX).

Probably, those SKUs will be built on the newer Intel 18A node and deliver more changes than Raptor Lake Refresh.
 

TwistedAndy

Member
May 23, 2024
114
90
56
Plus the 8+32 sku will come

From my perspective, Intel will rather introduce SKUs with higher core counts for their Xeon lineup for workstations.

There is no sense in creating additional competition between the desktop and workstation lineups with the 8+32 consumer SKU.

Even the existing 8+16 config looks nice, if we consider that Skymont is close to Golden Cove in terms of IPC.
 

SiliconFly

Golden Member
Mar 10, 2023
1,192
613
96
if we consider that Skymont is close to Golden Cove in terms of IPC.
You keep using the term Golden Cove. It's not. Intel slides say different.

Plus the 8+32 sku will come
Very doubtful. But if it's released, it'll be awesome!

The refreshed versions of ARL-S and ARL-HX will be announced in October 2025 (S) and January 2026 (HX).

Probably, those SKUs will be built on the newer Intel 18A node and deliver more changes than Raptor Lake Refresh.
Shifting nodes during a refresh is unlikely afaik.

What you are saying is news to me. What will the 18A desktop CPU be called? Panther Lake is what they call it in literature.
Don't think there's a 18A desktop CPU next year.

It is actually worse than that. If ARL-R comes out 1 year after ARL, as is usual for Intel refreshes, that means nearly 2027 before a proper next gen for the desktop. This is why I keep repeating that it is imperative that ARL is better than "just ok". If it isn't, Intel is in trouble for at least 2 more years on the desktop.
Very true.

Then 2026 for proper next gen for Desktop
Yep. Nova Lake 2026. Both DT & mobile. Sadly, it appears they don't have anything good for DT next year.


PTL will also come to desktop?
Sadly no. But PTL should cover all mobile verticals.
 
Last edited:
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |