Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 371 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
686
576
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E08 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Arrow Lake Refresh (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXDesktop OnlyMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2025 ?Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E8P + 32E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ??8 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)

 

Attachments

  • PantherLake.png
    283.5 KB · Views: 23,983
  • LNL.png
    881.8 KB · Views: 25,455
Last edited:

AMDK11

Senior member
Jul 15, 2019
341
235
116
You are giving too much credit to ALU increase. Zen 5 has 50% more ALU units (4 to 6) and gets "only" ~16% IPC according to AMD's number (the only one we have so far). If it were that easy to simply slap on ALU/AGUs or execution ports and get "free" IPC, everyone would have a field day. It's super complicated dance of resources and power and has 100s of variables. It's basically a tradeoff every time.
I deliberately used simplification. I just wanted to emphasize that the profits often do not even reach 50% of the amount of resources added.
 
Reactions: Nothingness

SiliconFly

Golden Member
Mar 10, 2023
1,212
627
96

Core ultra 9 have higher base clock than 14900k.. wonder which node N3B or 20A ?🤔
Final nail in the coffin. So, we now have confirmation ARL-S desktop 8+16 has no HT anymore.

Thanks to Intel for getting rid of useless/issue prone HT in client!
 
Reactions: buttersdeif

SiliconFly

Golden Member
Mar 10, 2023
1,212
627
96
Rumoured to be N3B
ARL-S is rumored to be on N3B and ARL-H on 20A. Both nodes should be able to clock high enough if necessary as N3B is more mature now and 20A has BSPD.

Interesting times ahead... competition will be neck-to-neck on ST & will be a total wipe-out on 8+16 MT.
 

SiliconFly

Golden Member
Mar 10, 2023
1,212
627
96
I think ultra 9 will have higher all core boost than 14900k..
Wouldn't that be nice! With LNC, anything is possible. I mean even a slight regression.

oc to 6ghz maybe ? So lion cove 25% to 35% over raptor cove 🤔😀 ?
25% to 35% sounds a lot... I doubt OC from 5.7 to 6.0 will provide that much overall increase.

It is an ES, not the shipping product. They could simply have it disabled in microcode or even via the BIOS.
Too late in the cycle to expect it anymore. Actually, I'm glad its gone! (in clients)

And I'll pray that Intel keeps it that way.
 
Reactions: buttersdeif

ondma

Platinum Member
Mar 18, 2018
2,770
1,350
136
ARL-S is rumored to be on N3B and ARL-H on 20A. Both nodes should be able to clock high enough if necessary as N3B is more mature now and 20A has BSPD.

Interesting times ahead... competition will be neck-to-neck on ST & will be a total wipe-out on 8+16 MT.
How do you figure ARL will "wipe out" 9950 in MT? Lets do some speculative math. Assume Lion cove and 9xxx big cores are equal if both have hyperthreading. I think this should be a fairly accurate guess. 9950x has 16 "big cores" all with HT.
ARL has 8 big cores without HT so assuming 25% gain from HT, that is equivalent to 6 AMD cores.
ARL has 16 E cores. They lack hyperthreading and will have a clock speed disadvantage as well. So in "equivalent" AMD cores, that is 16*.75(no HT)*.85(clockspeed disadvantage) = 10.2. So we have 6+10 AMD core equivalents. MT performance should be about equal at best, because I am not even considering the the E cores will have an IPC deficit as well against AMD big cores.
 

Hulk

Diamond Member
Oct 9, 1999
4,373
2,251
136
How do you figure ARL will "wipe out" 9950 in MT? Lets do some speculative math. Assume Lion cove and 9xxx big cores are equal if both have hyperthreading. I think this should be a fairly accurate guess. 9950x has 16 "big cores" all with HT.
ARL has 8 big cores without HT so assuming 25% gain from HT, that is equivalent to 6 AMD cores.
ARL has 16 E cores. They lack hyperthreading and will have a clock speed disadvantage as well. So in "equivalent" AMD cores, that is 16*.75(no HT)*.85(clockspeed disadvantage) = 10.2. So we have 6+10 AMD core equivalents. MT performance should be about equal at best, because I am not even considering the the E cores will have an IPC deficit as well against AMD big cores.

What all-core speed does the 16 core Zen 4 run at?
 

ondma

Platinum Member
Mar 18, 2018
2,770
1,350
136
What all-core speed does the 16 core Zen 4 run at?
For both Lion Cove and Zen 5 I have seen estimates of 5.7 turbo, so I assumed 5.5 all core (for both Lion Cove and Zen 5) and 4.5 all core for Skymont. This actually gives a ratio of 0.82, but I fudged a bit and gave Skymont a ratio of 0.85. Obviously, all these are just guesses, since we have neither product in final retail form. As I said also, I fudged in favor of Intel by assuming Skymont has equal IPC to Zen 5.

Point is, I dont see how anyone can by any stretch of the imagination think ARL without hyperthreading will decisively beat Zen 5 in multithreaded. It will come down to what clock speed each can maintain, and which architecture the benchmark favors. Best case for Intel I see is trading blows depending on the benchmark. As impressive at it appears to be, an E core is still far away in performance from a full fledged big core with HT.

Despite the claimed advantages of removing HT, I feel strongly that it will hurt them in the end. It is bad enough in the high end with 16 E cores, but will be even worse in the lower tiers. Previously I7 and i5 lines had an advantage over AMD because the extra E cores gave Intel an advantage in MT workloads against the equivalent AMD 76xx and 78xx chips. Now that advantage will be largely negated because the E cores will be needed to just make up for the lack of HT. If LC had been able to achieve 25 or 30 percent IPC gain, I could see how one would want to remove HT. But the IPC gain appears by all leaks, and preliminary LL data to be equal or slightly less than for previous Intel new generations which did not give up HT. So it just seems like a loss to me, with no corresponding gain except maybe easier validation and less security vulnerabilities, although AMD still seems to make it work.
 
Reactions: KompuKare

SiliconFly

Golden Member
Mar 10, 2023
1,212
627
96
How do you figure ARL will "wipe out" 9950 in MT? Lets do some speculative math. Assume Lion cove and 9xxx big cores are equal if both have hyperthreading. I think this should be a fairly accurate guess. 9950x has 16 "big cores" all with HT.
ARL has 8 big cores without HT so assuming 25% gain from HT, that is equivalent to 6 AMD cores.
ARL has 16 E cores. They lack hyperthreading and will have a clock speed disadvantage as well. So in "equivalent" AMD cores, that is 16*.75(no HT)*.85(clockspeed disadvantage) = 10.2. So we have 6+10 AMD core equivalents. MT performance should be about equal at best, because I am not even considering the the E cores will have an IPC deficit as well against AMD big cores.
Your math is wrong. Using your own numbers, ARL-S has 8 LNC cores without HT. 16 SKT * 0.85 (clockspeed disadvantage) = ~13.6 LNC cores without HT. That is, ARL-S ~= 21.6 LNC cores without HT.

Assume 1 Zen5 core without HT ~= 1 LNC core without HT. So, 16 Zen5 cores with HT = 16 * 1.25 ~= 20 LNC cores without HT.

i.e, ARL-S = 21.6 & Zen5 = 20

Advantage ARL.

4.5 all core for Skymont
4.6 GHz

...I dont see how anyone can by any stretch of the imagination think ARL without hyperthreading will decisively beat Zen 5 in multithreaded. ...
There lies the issue, you assume HT gives a sustained boost. It doesn't. Physical cores do.
 

coercitiv

Diamond Member
Jan 24, 2014
6,393
12,823
136
Ok. Scale it down further. But also adjust for HT. You'll see no way a 16 core HT cpu will stand against a 24 core cpu.
You were debating the napkin math of another poster, do the math again and show your results. (or point out the mistake in the other poster's napkin exercise)

Finishing your reply with "you'll see no way" sounds more like faith and less like fact.
 

SiliconFly

Golden Member
Mar 10, 2023
1,212
627
96
You were debating the napkin math of another poster, do the math again and show your results. (or point out the mistake in the other poster's napkin exercise)

Finishing your reply with "you'll see no way" sounds more like faith and less like fact.
None of these are facts. I think HT barely provides 15% to 20% performance delta in reality. So, if you adjust for that, it still comes down to same. No more magical numbers based on napkin math. I stop here.
 

Philste

Member
Oct 13, 2023
120
251
96
I took the newest clock numbers for ARL QS and came out at a 5% lead for ARL. Looks like it will be ZEN4 vs RPL again. Performance in ST and MT basically the same (probably slight lead for Intel in both, but nothing to worry). Efficiency will see a clearer winner and in this regard my personal guess is that the roles will switch. Intels node jump is just too big. That's for vannilla ZEN5. 3D will bring gaming parity again and will be the most efficient too because of it's low clocks, but Intel will be way closer than before.
 
Last edited:

coercitiv

Diamond Member
Jan 24, 2014
6,393
12,823
136
Despite the claimed advantages of removing HT, I feel strongly that it will hurt them in the end. It is bad enough in the high end with 16 E cores, but will be even worse in the lower tiers. Previously I7 and i5 lines had an advantage over AMD because the extra E cores gave Intel an advantage in MT workloads against the equivalent AMD 76xx and 78xx chips.
While I generally agree with your take on flagship vs. flagship MT performance, when it comes to i7 and especially the the i5, Intel will have the better offering. The only problem is RPL already has the better i5 over Zen 4 and that does not stop AMD from selling the R5 in particular. The halo effect from the flagships gaming CPU and the somewhat bad rep of the E cores (as in not great for games) make people chose the 6P product from AMD even when Intel has a better all-rounder in the form of 13500 for example.

None of these are facts. I think HT barely provides 15% to 20% performance delta in reality. So, if you adjust for that, it still comes down to same. No more magical numbers based on napkin math. It stops.
According to Intel the HT performance gains is 1.3x, that's pretty close to the 0.75 factor used by @ondma in his napkin math.
 
Reactions: Tlh97

AcrosTinus

Member
Jun 23, 2024
31
8
36
I took the newest clock numbers for ARL QS and came out at a 5% lead for ARL. Looks like it will be ZEN4 vs RPL again. Performance in ST and MT basically the same (probably slight lead for Intel in both, but nothing to worry). Efficiency will see a clearer winner and in this regard my personal guess is that the rules will switch. Intels node jump is just too big. That's for vannilla ZEN5. 3D will bring gaming parity again and will be the most efficient too because of it's low clocks, but Intel will be way closer than before.
which is a healthy back and forth. On the basis of pure speculation, I believe that we haven't seen the real performance of Lion Cove yet. Weren't the benchmarks of the LNC core based on a trimmed version with less cache for Lunar Lake. I'll wait and see..
 

Klingenberg

Member
Oct 29, 2012
41
6
71
I can see big advancements in consumer choices in the coming years with a big boost in efficiency, and for sure it will lean in favor of Intel. I don't know, they seem like they are one step further in technology
 

SiliconFly

Golden Member
Mar 10, 2023
1,212
627
96
...I believe that we haven't seen the real performance of Lion Cove yet...
True. ARL's LNC is yet to be seen. And I'm not sure, but I think we haven't seen Zen5 final yet either. Both should be revealed soon. Interesting times ahead...
...I don't know, they seem like they are one step further in technology
I don't think we should classify Intel as "one step" ahead in technology when they have a lead that kinda marginal at best. In terms of abstracted out (agnostic/modular) cores, tiles, fabric, gpu, process, etc, they've kinda caught up or exceeded a bit. A clear win requires leadership in at least most of it I guess.
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |