Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 372 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
686
576
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E08 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Arrow Lake Refresh (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXDesktop OnlyMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2025 ?Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E8P + 32E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ??8 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)

 

Attachments

  • PantherLake.png
    283.5 KB · Views: 23,983
  • LNL.png
    881.8 KB · Views: 25,455
Last edited:

Klingenberg

Member
Oct 29, 2012
41
6
71
True. ARL's LNC is yet to be seen. And I'm not sure, but I think we haven't seen Zen5 final yet either. Both should be revealed soon. Interesting times ahead...

I don't think we should classify Intel as "one step" ahead in technology when they have a lead that kinda marginal at best. In terms of abstracted out (agnostic/modular) cores, tiles, fabric, gpu, process, etc, they've kinda caught up or exceeded a bit. A clear win requires leadership in at least most of it I guess.
Who would you say is the best one then?
 

SiliconFly

Golden Member
Mar 10, 2023
1,218
631
96
Who would you say is the best one then?
Neither. After years of lagging competition, Intel has finally caught up (in almost all areas). That in itself is a massive feat! They're both almost at par now. A few percent points difference shouldn't be considered a big win.

Things may actually change a lot depending on how both the companies execute in the future. But not anytime now imho.

Based on LNC/Zen5 leaks, it looks like 2024 is gonna be the year of the equals.
 

Markfw

Moderator Emeritus, Elite Member
May 16, 2002
25,741
14,773
136
Neither. After years of lagging competition, Intel has finally caught up (in almost all areas). That in itself is a massive feat! They're both almost at par now. A few percent points difference shouldn't be considered a big win.

Things may actually change a lot depending on how both the companies execute in the future. But not anytime now imho.

Based on LNC/Zen5 leaks, it looks like 2024 is gonna be the year of the equals.
I think its early to call that. We have no reviews of new products from either company. Also, server seems to still be squarely in AMDs court, but until reviews come out, its all up in the air. Marketing info from both say NOTHING but try to make themselves look good.
 

Hulk

Diamond Member
Oct 9, 1999
4,373
2,251
136
It's hard to make predictions for overall performance when the architectures are so different, meaning all big cores vs. a big/little design.

I think we need to look at specific software. For example, I made this comparison of 9950X vs ARL 8+16 for CB R23 MT using performance from the previous generation and then bumping up for new gen cores based on manufacturer early data. +17% for Zen 5 vs Zen 4 and I think it was +14% for Lion Cove over Raptor Cove and Skymont IPC = Raptor Cove. Assumption was Zen 4 clocks will equal Zen 5 clocks. ARL clocks assumed 5.4 nT for Lion Cove and 4.5GHz for Skymont.

My calcs show Zen 5 around 45,000 and ARL just under 48,000. Maybe a 5 or 6% advantage for this one particular "ridiculously" parallel threaded application. With the estimates I have made for final clocks (they may be high for ARL and low for Zen 5) we are within the margin of error.

So defenders of Intel and AMD be not worried! Zen 5 and ARL will be close enough in performance to sustain fruitful discussions for years to come! I am predicting there will be no clear winner in performance. This makes sense because Intel knew where AMD was going 3 years ago and AMD knew the same about Intel. They will both be hitting each others performance targets perfectly! Yes, I know I am being cynical to the extreme.

As far as efficiency I think Intel will do better on the new node but if I had to bet I think AMD may still have the advantage.
 

AcrosTinus

Member
Jun 23, 2024
33
10
36
It's hard to make predictions for overall performance when the architectures are so different, meaning all big cores vs. a big/little design.

I think we need to look at specific software. For example, I made this comparison of 9950X vs ARL 8+16 for CB R23 MT using performance from the previous generation and then bumping up for new gen cores based on manufacturer early data. +17% for Zen 5 vs Zen 4 and I think it was +14% for Lion Cove over Raptor Cove and Skymont IPC = Raptor Cove. Assumption was Zen 4 clocks will equal Zen 5 clocks. ARL clocks assumed 5.4 nT for Lion Cove and 4.5GHz for Skymont.

My calcs show Zen 5 around 45,000 and ARL just under 48,000. Maybe a 5 or 6% advantage for this one particular "ridiculously" parallel threaded application. With the estimates I have made for final clocks (they may be high for ARL and low for Zen 5) we are within the margin of error.

So defenders of Intel and AMD be not worried! Zen 5 and ARL will be close enough in performance to sustain fruitful discussions for years to come! I am predicting there will be no clear winner in performance. This makes sense because Intel knew where AMD was going 3 years ago and AMD knew the same about Intel. They will both be hitting each others performance targets perfectly! Yes, I know I am being cynical to the extreme.

As far as efficiency I think Intel will do better on the new node but if I had to bet I think AMD may still have the advantage.
I don't know, you might be right but AMD could only catch up due to architecture and node being tightly linked on the Intel side. With the option to outsource and the cores using industry standard tools for design, the teams can run as fast as they can while having TSMC as a security. The core technology (Hardware + Software) is miles ahead of AMD, the bottleneck in my humble opinion was the node and laziness due to zero competition from AMD in the past.
 
Reactions: Hulk

Hulk

Diamond Member
Oct 9, 1999
4,373
2,251
136
I don't know, you might be right but AMD could only catch up due to architecture and node being tightly linked on the Intel side. With the option to outsource and the cores using industry standard tools for design, the teams can run as fast as they can while having TSMC as a security. The core technology (Hardware + Software) is miles ahead of AMD, the bottleneck in my humble opinion was the node and laziness due to zero competition from AMD in the past.
That is a really good point. If it just comes down to putting designs on paper and then sending them to TMSC to make them work then Intel does have the resources to out spend/out design AMD. Lunar Lake may be the first example of that happening. Intel can easily put a huge team on the P cores, another on the E cores, another on the AI cores, another on GPU, etc.. while having a bunch of other teams working on future projects. Someone at Intel realized that and made the move to use TMSC.
 
Reactions: AcrosTinus

Wolverine2349

Senior member
Oct 9, 2022
248
90
61
I don't know, you might be right but AMD could only catch up due to architecture and node being tightly linked on the Intel side. With the option to outsource and the cores using industry standard tools for design, the teams can run as fast as they can while having TSMC as a security. The core technology (Hardware + Software) is miles ahead of AMD, the bottleneck in my humble opinion was the node and laziness due to zero competition from AMD in the past.

Well if Intel has caught up on node shoulder they be superior to AMD.

Afterall intel on a node behind was equal or a little better than Zen 4 in IPC. Though power usage much higher and stability issues 13th and 14th Gen kind of negated that.

But if Intel is better on node shouldn't they be better and superior given they were equal on inferior node despite power and stability issues om the 10nm inferior node.
 

AcrosTinus

Member
Jun 23, 2024
33
10
36
That is a really good point. If it just comes down to putting designs on paper and then sending them to TMSC to make them work then Intel does have the resources to out spend/out design AMD. Lunar Lake may be the first example of that happening. Intel can easily put a huge team on the P cores, another on the E cores, another on the AI cores, another on GPU, etc.. while having a bunch of other teams working on future projects. Someone at Intel realized that and made the move to use TMSC.
You get it, I think Pat knows what is he is doing, everything is about de-risking and building resilience while pushing forward so that the past cannot repeat itself. Proof is the "I bet the company on 18A" in reality there is 20A that generates insight and corrections for 18A before it goes into volume. Again, I might be a bit too hopeful due to buying the intel dip but everything is alright as of now.
 

AcrosTinus

Member
Jun 23, 2024
33
10
36
Well if Intel has caught up on node shoulder they be superior to AMD.

Afterall intel on a node behind was equal or a little better than Zen 4 in IPC. Though power usage much higher and stability issues 13th and 14th Gen kind of negated that.

But if Intel is better on node shouldn't they be better and superior given they were equal on inferior node despite power and stability issues om the 10nm inferior node.
If Intel stays awake it will work out. I cannot estimate the impact of the new transistors and backside power at the moment. These features seem to be a milestone in manufacturing that should unlock a new level in efficiency and clocks/power.
 

Geddagod

Golden Member
Dec 28, 2021
1,205
1,172
106
How do you figure ARL will "wipe out" 9950 in MT? Lets do some speculative math. Assume Lion cove and 9xxx big cores are equal if both have hyperthreading. I think this should be a fairly accurate guess. 9950x has 16 "big cores" all with HT.
ARL has 8 big cores without HT so assuming 25% gain from HT, that is equivalent to 6 AMD cores.
ARL has 16 E cores. They lack hyperthreading and will have a clock speed disadvantage as well. So in "equivalent" AMD cores, that is 16*.75(no HT)*.85(clockspeed disadvantage) = 10.2. So we have 6+10 AMD core equivalents. MT performance should be about equal at best, because I am not even considering the the E cores will have an IPC deficit as well against AMD big cores.
Not how I did it, my method was prob uselessly more complicated lol but whatever, agree with the end conclusion that ARL will be around Zen 5 MT perf.
Also, server seems to still be squarely in AMDs court,
How? Same core counts, Zen 5 will have prob have a ~15% per core IPC advantage and prob a similar margin of perf/watt advantage as well. AVX-512 prob better with Zen 5, running AI workloads is almost certainly going to be better with Intel (if they use their accelerators). That doesn't sound like it's still "squarely in AMDs court". AMD still has a marginal advantage sure, but Intel is in a dramatically more competitive position.
 

Markfw

Moderator Emeritus, Elite Member
May 16, 2002
25,741
14,773
136
Not how I did it, my method was prob uselessly more complicated lol but whatever, agree with the end conclusion that ARL will be around Zen 5 MT perf.

How? Same core counts, Zen 5 will have prob have a ~15% per core IPC advantage and prob a similar margin of perf/watt advantage as well. AVX-512 prob better with Zen 5, running AI workloads is almost certainly going to be better with Intel (if they use their accelerators). That doesn't sound like it's still "squarely in AMDs court". AMD still has a marginal advantage sure, but Intel is in a dramatically more competitive position.
This is not the place for that discussion. I had an opinion, leave it at that. When benchmarks come out, I will find the right thread to discuss them.
 
Reactions: controlflow

Hulk

Diamond Member
Oct 9, 1999
4,373
2,251
136
You get it, I think Pat knows what is he is doing, everything is about de-risking and building resilience while pushing forward so that the past cannot repeat itself. Proof is the "I bet the company on 18A" in reality there is 20A that generates insight and corrections for 18A before it goes into volume. Again, I might be a bit too hopeful due to buying the intel dip but everything is alright as of now.
When I first heard Intel would be using TSMC my first reaction was, "Intel has surrendered the foundry business." But now I'm understanding "the right node for the right transistors" makes sense. Intel can't do it all and they can maximize their foundry by picking and choosing where to produce the various tiles and on what processes.

Would I be correct in assuming Intel does have an advantage by still having a foundry business or is it a total liability? I'm thinking that at the end of the day the cheaper you can produce your parts the better. Raptor Lake is pretty huge but they could "swallow" that cost because they are the foundry.
 

poke01

Golden Member
Mar 8, 2022
1,398
1,615
106
I’m keeping expectations low about performance but at least one thing is confirmed and that efficiency is confirmed to have improved due to the TSMC node.

We can discussions about who is better when both release, each week the pendulum swings either way.

I don’t people understand what type of node Intel is coming off from. Intel 7 to TSMC N3B is like going from on iPhone 7 to iPhone 15 Pro or an S6 to S23 Ultra.
 

Doug S

Platinum Member
Feb 8, 2020
2,486
4,049
136
When I first heard Intel would be using TSMC my first reaction was, "Intel has surrendered the foundry business." But now I'm understanding "the right node for the right transistors" makes sense. Intel can't do it all and they can maximize their foundry by picking and choosing where to produce the various tiles and on what processes.

Would I be correct in assuming Intel does have an advantage by still having a foundry business or is it a total liability? I'm thinking that at the end of the day the cheaper you can produce your parts the better. Raptor Lake is pretty huge but they could "swallow" that cost because they are the foundry.


I think Intel would very much prefer to stop using outside foundries, at least for any process that was current in the past decade. They have no choice today because they don't have enough capacity to serve their own needs, let alone that of outside customers they're trying to take on. That will be true for most of the current decade.

I don't buy the argument that it is more profitable to make chips in house. Sure, they double dip on profit - making money both on producing the chip (what TSMC is making from AMD) and on selling the chip (what AMD is making from the markup they charge on the chips TSMC made for them) but if more total dollars of profit was the goal they should be like Samsung and make smartphones, ships, NAND, TVs, and everything in between. Having the foundry in house was an advantage for Intel in some ways (like designing the process towards the chips they wanted to make) but was a disadvantage in ways too - dysfunction like your example where they could think "well this chip is pretty large and has bad yields as a result but that's fine because we have spare capacity in our fabs that would otherwise be wasted"

Given that AMD and TSMC are both profitable, there are clearly profits to be had in both businesses. But they are so different in terms of capital requirements, business cycles, etc. that I don't believe it makes sense for both to be under one roof. When you add in the fact Intel's chip division competes in some way with many of the biggest potential customers like Apple, Nvidia, Qualcomm and AMD, it is clear they need to spin off the foundry once it is able to stand on its own. I would say they reach that point when they do more business in wafer volume and revenue with outside customers than they do internally. If they are successful and aggressive enough in fab buildout they should be able to reach that position in the early 2030s, though they'd see the runway towards that several years earlier - so 2028/2029 is the earliest I'd expect to see such an announcement.
 

AcrosTinus

Member
Jun 23, 2024
33
10
36
I think Intel would very much prefer to stop using outside foundries, at least for any process that was current in the past decade. They have no choice today because they don't have enough capacity to serve their own needs, let alone that of outside customers they're trying to take on. That will be true for most of the current decade.

I don't buy the argument that it is more profitable to make chips in house. Sure, they double dip on profit - making money both on producing the chip (what TSMC is making from AMD) and on selling the chip (what AMD is making from the markup they charge on the chips TSMC made for them) but if more total dollars of profit was the goal they should be like Samsung and make smartphones, ships, NAND, TVs, and everything in between. Having the foundry in house was an advantage for Intel in some ways (like designing the process towards the chips they wanted to make) but was a disadvantage in ways too - dysfunction like your example where they could think "well this chip is pretty large and has bad yields as a result but that's fine because we have spare capacity in our fabs that would otherwise be wasted"

Given that AMD and TSMC are both profitable, there are clearly profits to be had in both businesses. But they are so different in terms of capital requirements, business cycles, etc. that I don't believe it makes sense for both to be under one roof. When you add in the fact Intel's chip division competes in some way with many of the biggest potential customers like Apple, Nvidia, Qualcomm and AMD, it is clear they need to spin off the foundry once it is able to stand on its own. I would say they reach that point when they do more business in wafer volume and revenue with outside customers than they do internally. If they are successful and aggressive enough in fab buildout they should be able to reach that position in the early 2030s, though they'd see the runway towards that several years earlier - so 2028/2029 is the earliest I'd expect to see such an announcement.
I don't want to get political but for the west the foundry is a burden worth carrying. That is the main reason I invested into Intel.
 

Doug S

Platinum Member
Feb 8, 2020
2,486
4,049
136
I don't want to get political but for the west the foundry is a burden worth carrying. That is the main reason I invested into Intel.

Intel doesn't need to own the foundry under the same roof as their chip business for that though. If they spun it off under a separate stock symbol and management what you say is still true.
 

inquiss

Member
Oct 13, 2010
89
160
106
Neither. After years of lagging competition, Intel has finally caught up (in almost all areas). That in itself is a massive feat! They're both almost at par now. A few percent points difference shouldn't be considered a big win.

Things may actually change a lot depending on how both the companies execute in the future. But not anytime now imho.

Based on LNC/Zen5 leaks, it looks like 2024 is gonna be the year of the equals.
Doubt it. Lunar is good, sure, but its not competing in the same race. Lunar lake will dominate the small core count stuff, and tablets, strix anything higher. So like, not equals?
 

SiliconFly

Golden Member
Mar 10, 2023
1,218
631
96
... Lunar lake will dominate the small core count stuff...
Very much possible. But how big is the ULP market? Not sure. But don't think it's big enough to make a huge difference.

...for the west the foundry is a burden worth carrying. ...
Surely. For the west it's worth it. Not for Intel. It's dragging the other units down.

Intel doesn't need to own the foundry under the same roof as their chip...
Very true. But it does have its own advantages though.
 
Reactions: AcrosTinus

SiliconFly

Golden Member
Mar 10, 2023
1,218
631
96
I’m keeping expectations low about performance but at least one thing is confirmed and that efficiency is confirmed to have improved due to the TSMC node.

We can discussions about who is better when both release, each week the pendulum swings either way.

I don’t people understand what type of node Intel is coming off from. Intel 7 to TSMC N3B is like going from on iPhone 7 to iPhone 15 Pro or an S6 to S23 Ultra.
Totally agree. The massive node jump is gonna contribute a lot to increased efficiency mostly. But this increase is only sufficient enough to catch up to competition as of now (or maybe exceed a bit at best). For all practical purposes, we can safely assume they're mostly at par this year.

Well if Intel has caught up on node shoulder they be superior to AMD.

Afterall intel on a node behind was equal or a little better than Zen 4 in IPC. Though power usage much higher and stability issues 13th and 14th Gen kind of negated that.

But if Intel is better on node shouldn't they be better and superior given they were equal on inferior node despite power and stability issues om the 10nm inferior node.
Not really. For example, Intel is still stuck with their power hungry P core in servers (it's still using RWC). Nothing much to expect there. In clients, the LNC leaks didn't indicate much (but an official ARL's LNC reveal might say something entirely different; who knows).

At this point, Intel needs to go the extra mile with its P core architecture to be "superior to AMD". No sure whether ARL's LNC can pull it off. I'll be interesting if it happens. But it's a definite possibility with future P core architectures.
 

AcrosTinus

Member
Jun 23, 2024
33
10
36
Totally agree. The massive node jump is gonna contribute a lot to increased efficiency mostly. But this increase is only sufficient enough to catch up to competition as of now (or maybe exceed a bit at best). For all practical purposes, we can safely assume they're mostly at par this year.


Not really. For example, Intel is still stuck with their power hungry P core in servers (it's still using RWC). Nothing much to expect there. In clients, the LNC leaks didn't indicate much (but an official ARL's LNC reveal might say something entirely different; who knows).

At this point, Intel needs to go the extra mile with its P core architecture to be "superior to AMD". No sure whether ARL's LNC can pull it off. I'll be interesting if it happens. But it's a definite possibility with future P core architectures.
Maybe Intel 3 can tame the beast. From the benchmarks I saw and the ones I ran myself, Zen 4 is an inferior core but more balanced than GLC, RWC, RPC. The SPEC results show a slight advantage for Intel and a huge one if you artificially manage to load up the backend to the max.
I don't know for sure but Intel's mesh and old node resulted in higher power draw and lower clocks. AMD could use the bleeding edge on a less wide core, using less power on a better communication protocol(infinity fabric?) that scales better, meaning higher clocks on server.

After Intel fixes their nodes, Intel 3 is promising, their mesh interconnect might need a second look. (not a hardware designer, the mesh might be just the right thing)
 
Reactions: Henry swagger

dttprofessor

Member
Jun 16, 2022
27
3
41
Maybe Intel 3 can tame the beast. From the benchmarks I saw and the ones I ran myself, Zen 4 is an inferior core but more balanced than GLC, RWC, RPC. The SPEC results show a slight advantage for Intel and a huge one if you artificially manage to load up the backend to the max.
I don't know for sure but Intel's mesh and old node resulted in higher power draw and lower clocks. AMD could use the bleeding edge on a less wide core, using less power on a better communication protocol(infinity fabric?) that scales better, meaning higher clocks on server.

After Intel fixes their nodes, Intel 3 is promising, their mesh interconnect might need a second look. (not a hardware designer, the mesh might be just the right thing)
It's balance,CLF is 24 cores per tile *12.
Of course CLF is E core.
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |