Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 374 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
686
576
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E08 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Arrow Lake Refresh (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXDesktop OnlyMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2025 ?Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E8P + 32E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ??8 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)

 

Attachments

  • PantherLake.png
    283.5 KB · Views: 23,981
  • LNL.png
    881.8 KB · Views: 25,453
Last edited:

SarahKerrigan

Senior member
Oct 12, 2014
593
1,443
136
You are missing a point. Intel P-cores aim to be 1T performance king.

Intel aims for a lot of things. How many of them have they hit i the last five years?

Competition is though nowadays - 1T performance crown won't come easily. SMT isn't a free lunch anymore, core to target 1T need to drop it and only AMD seems to disagree now.

Z is overwhelmingly single-thread prioritized... and it has SMT.

Still haven't seen any actual methodology for what you're claiming beyond "Intel sez."
 
Reactions: Tlh97

TwistedAndy

Member
May 23, 2024
114
91
56
I'm not too concerned about SMT. In ideal conditions, it can provide nearly 15-20% higher CB R23 score.

On the other hand, SMT increases power consumption, increases the die area, and can decrease the performance in some cases. Actually, Intel engineers admitted that they even disable SMT dynamically in some applications.

From my perspective, it's more efficient to scale the MT performance by increasing the number of E-cores. But from the other side, I can hardly imagine how I can load 24 cores.
 

SiliconFly

Golden Member
Mar 10, 2023
1,192
613
96
I'm not too concerned about SMT. In ideal conditions, it can provide nearly 15-20% higher CB R23 score.

On the other hand, SMT increases power consumption, increases the die area, and can decrease the performance of many applications. Actually, Intel engineers admitted that they even disable SMT dynamically in some applications.

From my perspective, it's more efficient to scale the MT performance by increasing the number of E-cores. But from the other side, I can hardly imagine how I can load 24 cores.
Assuming removing HT offers 15% ST gains, shouldn't this gain also offset the MT loss due to removing HT?

Net should be roughly ~15% ST gains and ~10% MT loss (for a single P core without any trace of HT).

Sounds good I'd say.

How many of them have they hit i the last five years?
Intel has hit almost all milestones in client since ADL. Missed a few years, didn't we?
 

SarahKerrigan

Senior member
Oct 12, 2014
593
1,443
136
Actually would like to see Z spec results. IBM did shout about single-thread performance leadership few years ago but not anymore - pretty sure that they too faced some pretty serious competition from non-smt rival designs.

Z single-thread perf is superb. I can't provide you SPEC results, though I've run them, but it's the real deal.
 

naukkis

Senior member
Jun 5, 2002
769
635
136
Z single-thread perf is superb. I can't provide you SPEC results, though I've run them, but it's the real deal.

See that there isn't any extreme performance-oriented SMT-less design out yet. Intel seems to be first - if have to bet I would say that's Keller effect there and in AMD too, staying with SMT. Apple might target higher performance levels too.
 

KompuKare

Golden Member
Jul 28, 2009
1,069
1,108
136
What we currently only have is Intel marketeers going on about how removing SMT is so great.

Not any technical papers being presented at a technical show, or similar.

Until proven otherwise, I am inclined to think that the explainion is indeed what @Abwx speculated:

For Intel's hybrid approach to really work well, they needs the two type of cores to be as close to each other as possible. Dropping AVX-512 - after all the years of hype - and how SMT make the most sense in this context.

They also seem to have really pushed their synthesised design tools prowess so it is possible that some server chips addressing a certain market may get AVX-512 or some other "niche" feature anyhow.

Although the longer mainstream doesn't have the feature, the less chance of that IMO as While everyone is margins crazy, high volume with more modest margins is hugely important - and mainly why TSMC doing billions of ARM chips managed to be so successful. Intel of yore with the cast-off Atom stepchild attitude did not want to do higher volume, lower margins. Ironic of we consider how the cludge which is x86 ended up beating everyone else in the 80s and 90s - volume, volume, volume.
 

LightningZ71

Golden Member
Mar 10, 2017
1,658
1,940
136
Actually would like to see Z spec results. IBM did shout about single-thread performance leadership few years ago but not anymore - pretty sure that they too faced some pretty serious competition from non-smt rival designs.
Spec dot org has results for the E1050 96 core. Just do a search on google for spec results for ibm power processors and it's one of the first entries.

To give you some perspective:
IBM Power E1050 (2.95 - 3.90 GHz, 96 core, AIX) - [768 instances, 4 chips, SMT8, only 2 threads per core in use) SpecRate2017IntBase=1220 , Peak=1580
IBM Power E1080 (3.55 - 4 GHz, 120 core, AIX) - [120 cores, 8 chips, 960 instances] = SpecRate2017IntBase=1700 , Peak=2170
Kaytus KR1280E2 (AMD EPYC 9754) (256 cores/512 instances) - SpecRate2017IntBase=1930 , Peak=2100
Kaytus KR1280E2 (AMD EPYC 9654) (192 cores/384 instances) - SpecRate2017IntBase=1800 , Peak=1920
Dell PowerEdge R6625 (AMD EPYC 9754 128-Core Processor)- (256 cores/512 instances) = SpecRate2017IntBase=1820 , Peak=1980
Dell PowerEdge R6625 (AMD EPYC 9684X 96-Core Processor) - (192 cores/384 instances) = SpecRate2017IntBase=1790 , Peak=1870
ZTE R8500G5 Server System (1.90 GHz, Intel Xeon Platinum 8490H) - (240 cores/480 instances) = SpecRate2017IntBase=2000 , Peak=2050
HPE Compute Scale-up Server 3200 (1.90 GHz, Intel Xeon Platinum 8490H) - (960 cores/1920 instances) - SpecRate2017IntBase=7310 , Peak=[Not submitted]

IBM Power10 can be configured in a performance competitive manner, but, it doesn't look like they are competitive on a "per-core-throughput" basis.
 
Reactions: Henry swagger

SiliconFly

Golden Member
Mar 10, 2023
1,192
613
96
...after all the years of hype - and how SMT make the most sense in this context.
HT made sense (in client) when there were fewer cores and a high power budget. Not these day when we have tons of cores. And a significant increase in ST is more important than a minor drop in MT.

Thank god Intel killed HT in client.

... Intel of yore with the cast-off Atom stepchild attitude did not want to do higher volume, lower margins. Ironic of we consider how the cludge which is x86 ended up beating everyone else in the 80s and 90s - volume, volume, volume.
Hilarious! When you say "volume, volume, volume", AMD is not even in the radar compared to Intel.
 

KompuKare

Golden Member
Jul 28, 2009
1,069
1,108
136
Hilarious! When you say "volume, volume, volume", AMD is not even in the radar compared to Intel.
And I keep saying the same thing about AMD, especially their GPUs.
What's the point of developing a new design with huge fixed costs, overpricing it at launch getting poor reviews, poor sales, and in the end reducing the price when that generation is almost gone?

But my point about Intel and why they failed so long with Atom, did not want the iPhone SOC business, etc., still stands: avoiding volume in a quest for margins is a very dangerous thing. And that the disciplineyou onlyget by making mass market products is very important but easy for bean counters to miss.
 

SiliconFly

Golden Member
Mar 10, 2023
1,192
613
96
And I keep saying the same thing about AMD, especially their GPUs.
What's the point of developing a new design with huge fixed costs, overpricing it at launch getting poor reviews, poor sales, and in the end reducing the price when that generation is almost gone?
The point is, it's the same strategy used by many companies for ages. Even Nvidia does it, and it's a multi-trillion dollar company.

But my point about Intel and why they failed so long with Atom, did not want the iPhone SOC business, etc., still stands: avoiding volume in a quest for margins is a very dangerous thing. And that the disciplineyou onlyget by making mass market products is very important but easy for bean counters to miss.
You're forgetting that Intel was run by bean counters during the iPhone SoC/Atom debacle. Those paper pushers didn't even realize what they were up against. Now that intel has an engineer at the helm (like amd & nvidia) who can see things better, I think the future should be better too.
 

Hulk

Diamond Member
Oct 9, 1999
4,367
2,234
136
While I'm interested in the increased performance of ARL and Zen 5 and the tech behind it, honestly my 14900K desktop is quite "healthy" for my heavy workloads.

What I'm really interested in is a LNL laptop to replace my seriously outdated MS Surface Laptop 2 rocking 4 low frequency Skylake cores (8250u).
 

Magio

Junior Member
May 13, 2024
19
19
36
Is a fair assumption from those SKUs leaks that the plans to have LNL support fanless designs have been shelved for now? They also haven't mentioned anything about that at Computex.

I don't personally care much about fanless, I'd rather have a fan and a more powerful chip, but Intel being confident about LNL at 8W would have been very encouraging for what to expect from it at 17W.
 

SiliconFly

Golden Member
Mar 10, 2023
1,192
613
96
Just saw MLID's video talking to HY.

To summarize HY's thoughts on ARL's LNC: Lunar Lake's LNC has 14% IPC uplift. Loss due to clock regression around 5%. ARL's LNC is expected to have more IPC uplift than Lunar Lake's LNC. Not sure exactly how much, but expecting around 20%. Even after adjusting for clock regression, it still comes around 15%.

I think it may even be more! Like 20% final is even possible. ARL might take both the ST crown and MT as well this generation.
 

Wolverine2349

Senior member
Oct 9, 2022
244
90
61
Just saw MLID's video talking to HY.

To summarize HY's thoughts on ARL's LNC: Lunar Lake's LNC has 14% IPC uplift. Loss due to clock regression around 5%. ARL's LNC is expected to have more IPC uplift than Lunar Lake's LNC. Not sure exactly how much, but expecting around 20%. Even after adjusting for clock regression, it still comes around 15%.

I think it may even be more! Like 20% final is even possible. ARL might take both the ST crown and MT as well this generation.


That would be great. Some have said in this thread I was setting up for disappointment for hoping for anything more than 14% IPC uplift. 20% would be really nice.

And want platinum stability back as well. 13th and 14th Gen were a mess in terms of stability degradation even though they were extremely performant.

If 20% IPC uplift is true Intel will take crown easily handily over Ryzen 9000 with Arrow Lake. Maybe even take gaming crown and certainly in the thread heavy games over Ryzen 9000X3D. Certainly at least trade blows with 9800X33D or maybe even a little ahead for games that max 5-8 cores and beat 9950X3D due to cross CCX/CCD latency hit which Intel does not have the problem with.

Maybe Conroe lite moment for Intel with Arrow Lake would be so cool.
 

ondma

Platinum Member
Mar 18, 2018
2,765
1,349
136
What we currently only have is Intel marketeers going on about how removing SMT is so great.

Not any technical papers being presented at a technical show, or similar.

Until proven otherwise, I am inclined to think that the explainion is indeed what @Abwx speculated:

For Intel's hybrid approach to really work well, they needs the two type of cores to be as close to each other as possible. Dropping AVX-512 - after all the years of hype - and how SMT make the most sense in this context.

They also seem to have really pushed their synthesised design tools prowess so it is possible that some server chips addressing a certain market may get AVX-512 or some other "niche" feature anyhow.

Although the longer mainstream doesn't have the feature, the less chance of that IMO as While everyone is margins crazy, high volume with more modest margins is hugely important - and mainly why TSMC doing billions of ARM chips managed to be so successful. Intel of yore with the cast-off Atom stepchild attitude did not want to do higher volume, lower margins. Ironic of we consider how the cludge which is x86 ended up beating everyone else in the 80s and 90s - volume, volume, volume.
Yea, we never heard anybody speculating how great it would be to remove HT until it came out that newer Intel designs would not have it. Now it is being proclaimed as the greatest thing since sliced bread. A classical case of rationalization, IMO. As I said before, removing HT might have been worthwhile if it had allowed a huge increase in ST performance. Granted we dont have final data yet, but it seems that the final ST gain for ARL will be very similar to previous generational gains from Intel that did not sacrifice hyperthreading.
 

H433x0n

Golden Member
Mar 15, 2023
1,066
1,247
96
Yea, we never heard anybody speculating how great it would be to remove HT until it came out that newer Intel designs would not have it. Now it is being proclaimed as the greatest thing since sliced bread. A classical case of rationalization, IMO. As I said before, removing HT might have been worthwhile if it had allowed a huge increase in ST performance. Granted we dont have final data yet, but it seems that the final ST gain for ARL will be very similar to previous generational gains from Intel that did not sacrifice hyperthreading.
The effects of HT have been dwindling and the costs have been rising every generation. There has now been 10+ years of security vulnerabilities and the effects of that have cascaded to the point where the pros/cons are not as clean cut as it was 10 years ago. There are more duplicated structures to compensate for these vulnerabilities and that has also led to an increase cost of validation. If all else is equal, would I prefer HT be on Lion Cove? Yes. Do I think that it's a must have feature? No.

I view HT as basically a ~neutral feature. It's helpful for nT performance sure but ARL-S will come equipped 24 physical cores including 16 Skymont cores that will boost nT performance by a decent chunk.
 
Reactions: Nothingness

Doug S

Platinum Member
Feb 8, 2020
2,479
4,036
136
Yea, we never heard anybody speculating how great it would be to remove HT until it came out that newer Intel designs would not have it. Now it is being proclaimed as the greatest thing since sliced bread. A classical case of rationalization, IMO. As I said before, removing HT might have been worthwhile if it had allowed a huge increase in ST performance. Granted we dont have final data yet, but it seems that the final ST gain for ARL will be very similar to previous generational gains from Intel that did not sacrifice hyperthreading.

I think a lot of people would have been arguing a LOT more strongly against HT if we knew how big the die penalty had become. It was claimed to be 5% originally which was pretty minor - and when HT hit the scene it wasn't like additional cores were an option since dual core was only just appearing on the scene since you can't have 1.05 or 2.10 cores. Few people reading this are actually architects, we didn't know about the increasing penalty for implementation of HT until it was pointed out by someone in the position to know.

Even if there was zero gain in ST as a consequence of HT's removal if you save 15% of die area in your cores that means you can have 15% more cores to provide MT uplift. And not the kind of "single digit gains here, 20% there, oops bit of a regression here, oh hey here's one outlier we can point to that gains 30%" uplift that HT gives, but 15% across the board. And no static power penalty, given that you can't effectively gate those extra transistors sprinkled across the core so you're paying a penalty in static/idle power over cores that don't implement HT.

And that's before you get into the additional man hours required for design and validation, and the security headaches we've seen with side channels for processes sharing a physical core.
 
Reactions: Nothingness

Henry swagger

Senior member
Feb 9, 2022
439
280
106
Just saw MLID's video talking to HY.

To summarize HY's thoughts on ARL's LNC: Lunar Lake's LNC has 14% IPC uplift. Loss due to clock regression around 5%. ARL's LNC is expected to have more IPC uplift than Lunar Lake's LNC. Not sure exactly how much, but expecting around 20%. Even after adjusting for clock regression, it still comes around 15%.

I think it may even be more! Like 20% final is even possible. ARL might take both the ST crown and MT as well this generation.
As i said 25% is possible over raptor 😁.. thry want to double golden cove ipc by cougar cove time 😀
 

Hulk

Diamond Member
Oct 9, 1999
4,367
2,234
136
LNL Lion Cove is +14%. History would suggest ARL Lion Cove will differ only by the addition of a faster memory subsystem and cache structure and as such I would expect at most an additional 5% IPC and mainly in applications that can utilize more L3, like games. So I'm prediction max IPC increase for Lion Cove at 19%.

As for the lack of HT, it made no sense to me either until Gracemont morphed into Skymont with Raptor Cove IPC at 1/3 the area. That's a game changer for MT and does seem to make ST moot for the big cores. It also has the additional benefit of simplifying things for the Thread Director.
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |