Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 427 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
694
600
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E012 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ?12 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)



 

Attachments

  • PantherLake.png
    283.5 KB · Views: 24,000
  • LNL.png
    881.8 KB · Views: 25,481
Last edited:

Wolverine2349

Senior member
Oct 9, 2022
371
112
76
Given how underwhelming Ryzen 9000 uplift over Ryzen 7000 in all but AVX512 workloads and some server tasks and Linux stuff, is it safe to say Arrow Lake will handily beat Ryzen. 9000.

And is Ryzen 9000 uplift underwhelming because AMD does not know how to do 6 wide decoder properly? They seemed better with a 4 wide decoder and knew how to do it well with excellent branch prediction.
 
Reactions: exitorious

MS_AT

Senior member
Jul 15, 2024
207
497
96
Given how underwhelming Ryzen 9000 uplift over Ryzen 7000 in all but AVX512 workloads and some server tasks and Linux stuff, is it safe to say Arrow Lake will handily beat Ryzen. 9000.

And is Ryzen 9000 uplift underwhelming because AMD does not know how to do 6 wide decoder properly? They seemed better with a 4 wide decoder and knew how to do it well with excellent branch prediction.
AMD doesn't have 6 wide decoder. Each SMT thread gets a 4 wide decoder. If only 1 T is active it's still 4 wide decoder.
 

511

Senior member
Jul 12, 2024
283
189
76
Given how underwhelming Ryzen 9000 uplift over Ryzen 7000 in all but AVX512 workloads and some server tasks and Linux stuff, is it safe to say Arrow Lake will handily beat Ryzen. 9000.

And is Ryzen 9000 uplift underwhelming because AMD does not know how to do 6 wide decoder properly? They seemed better with a 4 wide decoder and knew how to do it well with excellent branch prediction.
Skymont has 2X Vector Uplift as well so i guess ARL will give Zen 5 a run for it's money in Linux as well vector ports is increased by 33% on LNC and 100% in SKT based on the the ports alone ARL should have decent FP as well
 

Wolverine2349

Senior member
Oct 9, 2022
371
112
76
Skymont has 2X Vector Uplift as well so i guess ARL will give Zen 5 a run for it's money in Linux as well vector ports is increased by 33% on LNC and 100% in SKT based on the the ports alone ARL should have decent FP as well

Would it beat 7800X3D in most or all games and blow out Zen 5 vanilla in gaming?

And since vanilla Zen 5 is underwhelming improvement in gaming over vanilla Zen 4, I think Zen 5 3D will probably not be much better than 7800X3D Zen 4?
 

exitorious

Junior Member
Aug 8, 2019
18
70
91
Would it beat 7800X3D in most or all games and blow out Zen 5 vanilla in gaming?

And since vanilla Zen 5 is underwhelming improvement in gaming over vanilla Zen 4, I think Zen 5 3D will probably not be much better than 7800X3D Zen 4?
I don't see how Zen 5 3D can really be any better. The current x3d chips were based off the 7000 series and the 9000 series isn't any faster than the 7000 series in games so where would any kind of performance uplift come from?
 

inf64

Diamond Member
Mar 11, 2011
3,863
4,540
136
I don't see how Zen 5 3D can really be any better. The current x3d chips were based off the 7000 series and the 9000 series isn't any faster than the 7000 series in games so where would any kind of performance uplift come from?
That is not true. 9950X is 8% faster than 7950X:

Couple with improvements that AMD will bring with 9000 series X3D chips (probably higher clocks), I can see 10 to 15% better performance vs 7800X3D with 9800X3D
 
Reactions: Racan

exitorious

Junior Member
Aug 8, 2019
18
70
91
That is not true. 9950X is 8% faster than 7950X:

Couple with improvements that AMD will bring with 9000 series X3D chips (probably higher clocks), I can see 10 to 15% better performance vs 7800X3D with 9800X3D
Depends on whos review you believe I suppose: https://www.techpowerup.com/review/amd-ryzen-9-9950x/18.html
 

H433x0n

Golden Member
Mar 15, 2023
1,166
1,510
96
Huge opportunity for Intel. If ARL-S manages +10% 1T and +20% nT they’ll have the general performance lead for this generation.

Unfortunately it’ll probably end up being +5% 1T and +15% 1T and be basically tied against Zen 5 and launch to a flurry of negative reviews and x86 doomer talk.
 
Reactions: Tlh97 and reb0rn

SiliconFly

Golden Member
Mar 10, 2023
1,466
826
96
Huge opportunity for Intel. If ARL-S manages +10% 1T and +20% nT they’ll have the general performance lead for this generation.

Unfortunately it’ll probably end up being +5% 1T and +15% 1T and be basically tied against Zen 5 and launch to a flurry of negative reviews and x86 doomer talk.
Unlikely. LNC has a massive 14% IPC uplift. ARL-S final should have close to ~10% perf uplift. And coupled with 16 skymont E cores, MT should be impressive too. This year, ARL-S top sku should easily match or slightly outperform the top X3D part.
 

MS_AT

Senior member
Jul 15, 2024
207
497
96
Unlikely. LNC has a massive 14% IPC uplift. ARL-S final should have close to ~10% perf uplift. And coupled with 16 skymont E cores, MT should be impressive too. This year, ARL-S top sku should easily match or slightly outperform the top X3D part.
IPC alone at this level will not beat memory latency Zen5 is the proof enough [Zen4 matched Zen3x3d, with 13% IPC bump, 12% frequency bump if not more] So while ARL-S might top the charts, in games where x3d leads now thanks to bigger cache, it will keep the lead.
 

cebri1

Senior member
Jun 13, 2019
261
255
136
I'll give Intel props if they can get +10% 1T and +20% MT. Maybe even buy the inevitable 2025 refresh. But I will not be holding back from x86 doomer talk.
Zen 6 is next year, or another 22 months? If the latter I will join the doomer club. Unless Lunar Lake+BMG turn to be amazing.
 

poke01

Golden Member
Mar 8, 2022
1,995
2,534
106
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |