Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 433 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
694
600
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E012 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ?12 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)



 

Attachments

  • PantherLake.png
    283.5 KB · Views: 24,000
  • LNL.png
    881.8 KB · Views: 25,479
Last edited:

TESKATLIPOKA

Platinum Member
May 1, 2020
2,478
2,955
136
Arrow lake performance will be very big.. intel has veen good at hiding its true performance
They are masters of hiding the performance. The hid It so good, that they themselves can't find It any longer.

Performance wise ST nothing much in my opinion, nT could surprise or not, who knows.
If they can reign in the power consumption, It will be good enough.
 

TESKATLIPOKA

Platinum Member
May 1, 2020
2,478
2,955
136
Desktop vs Laptop CPUs aren't the same though. The few % differences in internal firmware, memory latency, binning, idle power differences will all add up, plus motherboard settings which might increase peak power significantly for few % faster performance.
I know, but there was no better comparison available.
 

TESKATLIPOKA

Platinum Member
May 1, 2020
2,478
2,955
136
they have to, its on N3B
Not necessarily, If they once more go for max performance, then It doesn't matter If the process is better than what they used with RPL.
Power consumption would be atrocious, just the performance or perf/W will be higher.
Mobile version could be interesting with more limited TDP, although I am not that happy with the old MTL SoC tile.

Then what I see as the biggest problem for Intel is that they plan ARL for both mobile and desktop. At least RPL was made by them. ARL will have only the interposer made by themselves. In 2023 the foundry business made a $7 billion operating loss, then this year and the next It could be only worse.
 
Last edited:

511

Senior member
Jul 12, 2024
238
172
76
Not necessarily, If they once more go for max performance, then It doesn't matter If the process is better than what they used with RPL.
Power consumption would be atrocious, just the performance or perf/W will be higher.
Mobile version could be interesting with more limited TDP, although I am not that happy with the old MTL SoC tile.
Me neither the Uncore is horrendous Intel 4 CPU themselves are efficient though
 

Wolverine2349

Senior member
Oct 9, 2022
356
110
76
Rumour has it that bartlett lake is not for regular consumers but for some special vertical like networking or something. So, it may be available only thru some specific oem channels for specific customers. Just guessing.

Also, if it isn’t for the general public, then it may not clock as high as they would want to keep the power usage and heat under control in an industrial setting. Again, just guessing.
Well could buy it 2nd hand from an OEM if they have a 12 p core die on a ring bus.
 

SiliconFly

Golden Member
Mar 10, 2023
1,447
821
96
Appears it might be extended to consumers because AI.
12 P cores sounds very exciting. But it appears Bartlett Lake is based on the older Raptor Cove cores (I'm assuming cos LGA1700). In which case, this is the Raptor Lake Refresh-Refresh that was rumored long back.

Again, in this case, are you sure it has AI? Cos, no Raptor Coves have AI.

12 P core Bartlett Lake coupled with a 5090 sounds more like a gamers wet dream. Lets hope Intel makes it happens instead of cancelling it like the rumored 8+32.

(Just out of curiosity, can anyone shed some light on what the µarch is called? Bartlett Cove or Raptor Cove+ or just Raptor Cove?)
 
Reactions: Wolverine2349

511

Senior member
Jul 12, 2024
238
172
76
12 P-cores necessitates a longer ring bus (if that is what they are using). Which would have a latency impact and make it likely worse for gaming than an 8 P-core part.
Not really it will be shorter than 13900K die assuming nothing is added cause 4E cores are roughly 1.2-1.3 times P cores so for 4P cores you roughly save an area worth of an additional P cores
 

Attachments

  • 11715907-4521-4c3d-8e75-3ec5d8f82746_1024x602.png
    86 KB · Views: 21
  • 6088a70e-2300-4741-ae91-37abb0ee2d8d_1022x185.png
    26 KB · Views: 21

SiliconFly

Golden Member
Mar 10, 2023
1,447
821
96
12 P-cores necessitates a longer ring bus (if that is what they are using). Which would have a latency impact and make it likely worse for gaming than an 8 P-core part.
I think the total no. of ring-stops on a 12 P core cpu and a typical 8+16 cpu will be the same. So, there shouldn't be much of a difference. I maybe over simplifying here. Hope others can explain better the effects of replacing a 4 x E core cluster with a single P core has the ring bus. I think the overall ring bus performance with 12 P cores shouldn't differ much on heavily multi-threaded workloads when compared to 8+16.
 

jdubs03

Senior member
Oct 1, 2013
650
268
136
Looks like we got the full lineup:
 

Wolverine2349

Senior member
Oct 9, 2022
356
110
76
I have heard Intel 10nm process node is expensive? Is that true or no?

I mean if it is indeed more expensive than TSMC or their 20A node, wouldn't Intel be better off making the 12 P core only model based on Arrow Lake instead of Raptor Cove?

Or is it not true that 20A and TSMC node they are putting Arrow Lake on is less expensive than their 10nm process node?

Or is Intel simply trying to capture the LGA 1700 market and knock out AMD AM4 market segment regardless and they have lots more 10nm capacity so better off to do 12 + 0 on that rather than 20A or TSMC?
 

DavidC1

Senior member
Dec 29, 2023
776
1,231
96
I have heard Intel 10nm process node is expensive? Is that true or no?

I mean if it is indeed more expensive than TSMC or their 20A node, wouldn't Intel be better off making the 12 P core only model based on Arrow Lake instead of Raptor Cove?
It would be because it's using DUV but it's a very mature process so switching to a brand new one like 20A or even N3 wouldn't necessarily be cheaper initially. When they mature sure.
Not really it will be shorter than 13900K die assuming nothing is added cause 4E cores are roughly 1.2-1.3 times P cores so for 4P cores you roughly save an area worth of an additional P cores
It doesn't work like this. The cores are a rectangle. And the whole die needs to be a rectangle. It'll just end up being a slightly smaller die instead. Look at the Raptorlake die.
 

SiliconFly

Golden Member
Mar 10, 2023
1,447
821
96
I have heard Intel 10nm process node is expensive? Is that true or no?

I mean if it is indeed more expensive than TSMC or their 20A node, wouldn't Intel be better off making the 12 P core only model based on Arrow Lake instead of Raptor Cove?

Or is it not true that 20A and TSMC node they are putting Arrow Lake on is less expensive than their 10nm process node?

Or is Intel simply trying to capture the LGA 1700 market and knock out AMD AM4 market segment regardless and they have lots more 10nm capacity so better off to do 12 + 0 on that rather than 20A or TSMC?
I think they're just trying to keep their production lines at full capacity (including Intel 7). Possibly for the next couple of years (mostly until 18A hits capacity).
 

511

Senior member
Jul 12, 2024
238
172
76
I have heard Intel 10nm process node is expensive? Is that true or no?
Yes it is using SAQP basically to print a pattern they have to go through the process 4 times EUV would have made that 1 times so simplification also 10nm has weird quirk like Cobalt being the
I mean if it is indeed more expensive than TSMC or their 20A node, wouldn't Intel be better off making the 12 P core only model based on Arrow Lake instead of Raptor Cove?

Or is it not true that 20A and TSMC node they are putting Arrow Lake on is less expensive than their 10nm process node?
First 20A is a half node like Intel 4 it only contains enough library to make a CPU and minimum IO just like Intel 4 you can't make a Monolithic SOC hencs the derisking of Process basically Intel 4 and 3 are one full node and Intel 20A and 18A are one the later being superset of previous half node also the cost 20A is expensive than Intel 7 but for the PPA it becomes better their foundry cost structure improves why do you think they are raking losses in Foundry it's the hidden truth you need good cost structure if you wanna run foundry they were hiding inefficiency in their foundry for years at least since Brian Kranzich
 

511

Senior member
Jul 12, 2024
238
172
76
It would be because it's using DUV but it's a very mature process so switching to a brand new one like 20A or even N3 wouldn't necessarily be cheaper initially. When they mature sure.

It doesn't work like this. The cores are a rectangle. And the whole die needs to be a rectangle. It'll just end up being a slightly smaller die instead. Look at the Raptorlake die.
Yeah i meant that the die will be smaller cause you saved some area sorry if i sounded confusing 😅
 

CakeMonster

Golden Member
Nov 22, 2012
1,490
650
136
After the thread priority and core parking drama with AMD, I hope to see some in-depth analysis of the behavior of Arrow Lake when it arrives. Hopefully compared to Z5 somewhat improved/tweaked.
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |