Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 467 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
694
600
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E012 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ?12 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)



 

Attachments

  • PantherLake.png
    283.5 KB · Views: 24,000
  • LNL.png
    881.8 KB · Views: 25,481
Last edited:

Joe NYC

Platinum Member
Jun 26, 2021
2,463
3,347
106
Can we really say this 18A is 20A rebranded with extra features?

Because just based on the naming and the BSPD it should have got the 30% density gains. RibbonFET should have gotten them 15% perf.

Then

I think what they did was they found doing 15% + 10% perf and 30% + x% in density was too optimistic, so they took 20A and added extra libraries and called it 18A.

They may as well be bad as Samsung in lying about the processes now.

You could be onto something. Renaming 20A to 18A, cancelling 20A sounds better than announcing 20A is a year late.
 
Reactions: lightmanek

Doug S

Platinum Member
Feb 8, 2020
2,700
4,581
136
It’s not Apples hardware only, when Apple used Intel they also got better standby than Windows. It’s just macOS being better optimised at such things.

It isn't the OS alone. Apple was specifically choosing lower power Intel CPUs - sometimes they got Intel to make them special SKUs when they didn't like the specs of the ones Intel offered others.

It would be difficult to figure out how much of the difference was due to the chip, and how much was due to the OS. Though I think everyone knows that Windows is kind of a resource pig that always seems to have random stuff happening in the background even when there are no user tasks running.
 

DavidC1

Senior member
Dec 29, 2023
778
1,236
96
It would be difficult to figure out how much of the difference was due to the chip, and how much was due to the OS. Though I think everyone knows that Windows is kind of a resource pig that always seems to have random stuff happening in the background even when there are no user tasks running.
That's Wintel for ya. They blame each other for their problems, but they are a perfect couple because both of them having problems.
 

9949asd

Member
Jul 12, 2024
50
29
51
Hmm those are certainly better results than what HXL on twitter was showing. Was showing 885 and 15709. Since it’s an Intel to Intel comparison I’ll give it more benefit of the doubt than not.
919 is p5.5,stock is 5.2. ARL now just like zen5 doing in cpuz. In the r23 r24 will look way better.
 

jdubs03

Senior member
Oct 1, 2013
678
304
136
919 is p5.5,stock is 5.2. ARL now just like zen5 doing in cpuz. In the r23 r24 will look way better.
I think you mean all-core is 5.2Ghz.

That score is decent though. There is a small bump in single thread and an okayish bump in multi thread.

237W in P95 is a massive improvement though. Hopefully that bares out.
 

511

Senior member
Jul 12, 2024
251
182
76
Would make more sense tbh. Notebookcheck is system power and it’s close and value to Anandtech, so my assumption is it’s also system power.

Just caught this:

Wasn’t the 4P-8E-4LPE-12Xe sku PTL-P? And the 4P-0E-4LPE, PTL-U?
Looks like a couple of typos.
From this 4Xe3 core on Intel 3 i guess it is for system with dGPU there is no reason to have 12Xe3 ina system with dGPU and tiling makes it flexible for them to do it

PTL-H 4+8+4+4Xe 25W
PTL-H 4+8+4+12Xe 25W
PTL-H 4+0+4+4Xe 25W
 
Reactions: jdubs03

naukkis

Senior member
Jun 5, 2002
871
737
136
This really makes no sense.

If I could do all the work I can do my desktop, on a thin and light laptop , why wouldn't I want that..

ST is important, and LNL has that in droves it seems, but this strength will drop off pretty quickly beyond 4 threads , and be completely DOA byond 8 obviously compared to other offerings. That's a bit lacklustre in 2024. And it means it gets flogged by other U class chips from a generation ago . Matched by some 2 gen's ago. That's a problem that's hard to shake. I'm certainly not forking out for something that can't do more real work than my 2+yr old machine, just because it can chomp through a ST workload faster.

IF ST is strong enough to also be performant in MT with a low core count, PLUS it's efficient enough to still have good perf/watt whilde doing it, then your point is valid, but none of these are true.. the ST is basically in line with everything else give or take. and it comes at a consumption we don't know yet.. Intel certainly ain't mentioning it in their slides
Actually Intel is mentioning in their slides. 3x thread performance vs MTL. That means Lunarlake 8 threads have faster max threads MT performance than MTL 22 threads @ 17w.
 
Reactions: 511

511

Senior member
Jul 12, 2024
251
182
76
Actually Intel is mentioning in their slides. 3x thread performance vs MTL. That means Lunarlake 8 threads have faster max threads MT performance than MTL 22 threads @ 17w.
Wonder what 14 thread will do in ARL-H 👀 btw 4 threads are not even on ring bus
 

SiliconFly

Golden Member
Mar 10, 2023
1,462
824
96
Hmm. 5 nodes in 4 years is looking like pie-in-the-sky.
I think they should sell off IFS altogether. They don't need it anymore. Their client/server product divisions are working amazingly well with TSMC!

... Given their financials, I don't think they can afford to do anything else but to just ramp 18A and hope for the best.
Sad but true!
 

coercitiv

Diamond Member
Jan 24, 2014
6,595
13,918
136
Actually Intel is mentioning in their slides. 3x thread performance vs MTL. That means Lunarlake 8 threads have faster max threads MT performance than MTL 22 threads @ 17w.
Marketing speak aside, LNL is 10% faster than MTL @ 17W and 6% slower @ 23W. It loses steam fast, and that's with a node advantage. For the design target of 9W as declared by Intel the chip is very nice, but for 17W+ it could definitely use a bit more horsepower, either 4P6E or even 4P8E. That's why it's disappointing they're not following it up with another iteration.

Think of it this way, MTL loses to Hawk Point 8P/16T at 23W. LNL loses to MTL at 23W. We'll have independent numbers soon so I'd rather not try to extrapolate what happens at 17W, but it may not be the clear-cut win some were expecting.
 

511

Senior member
Jul 12, 2024
251
182
76
I think they should sell off IFS altogether. They don't need it anymore. Their client/server product divisions are working amazingly well with TSMC!
Nope selling IFS is not an option for them they already invested too much in fabs also it was due to their foundry they have been so dominating cause they can fab it but those things have become inefficient since 14nm they need efficient foundry to drive them the shareholders are now vultures trying to force the seperation for their benifit
The manufacturing part of TSMC/Samsung success is due to their country backing as well look at China funding SMIC either US can do the same or suck off the loss and loose the only leading edge foundry they have manufacturing
requires government support in any country
 

naukkis

Senior member
Jun 5, 2002
871
737
136
Marketing speak aside, LNL is 10% faster than MTL @ 17W and 6% slower @ 23W. It loses steam fast, and that's with a node advantage. For the design target of 9W as declared by Intel the chip is very nice, but for 17W+ it could definitely use a bit more horsepower, either 4P6E or even 4P8E. That's why it's disappointing they're not following it up with another iteration.

Think of it this way, MTL loses to Hawk Point 8P/16T at 23W. LNL loses to MTL at 23W. We'll have independent numbers soon so I'd rather not try to extrapolate what happens at 17W, but it may not be the clear-cut win some were expecting.
As it's chip for thin&light devices performance at high power is irrelevant. Also trading fast thread speed to more combined low thread speed ain't winning scheme for good user experience. Intel is at least going to right direction, x86 devices might actually be competitive after they got more efficient interconnect to p-cores too. Now even Lunarlake is still about 2-fold behind true mobile devices for sustained low thread performance/watt.
 

Magio

Member
May 13, 2024
61
54
51
I think they should sell off IFS altogether. They don't need it anymore. Their client/server product divisions are working amazingly well with TSMC!
I disagree. Intel's foundries, in the recent past, have dragged Intel down. The whole 10nm debacle lost them their lead, cost them billions upon billions and derailed their product strategy for years. But foundries are a valuable asset, and their foundries' prior success was a big part of why they dominated for so long.

The option to become a fabless chipmaker would have been a tempting one a few years ago but it's too late now. Gelsinger bet too much on their foundries since.

And regardless of if a conversion to fabless chipmaker is even feasible without dooming the whole company, in my opinion it would be a terrible call. Intel is one of three foundries worldwide to even be near the cutting edge and they're crucially the only US company among them. That makes Intel, while they continue to pursue cutting edge nodes, an actual geopolitical asset to the US. You don't give up that sort of status lightly.

I also have to say I'm not quite sure why there's all this doom and gloom about 18A just yet, even in light of the recent Reuters report. If all Broadcom said to Intel is that 18A is not yet ready for HVM (which is the only thing that's being reported)... I get that's not good news and the fact it's being reported suggests it's not the news Intel wanted. But more than a year away from the first product slated to release on 18A I have trouble seeing why HVM readiness is an absolute necessity today. If we were getting that news some time into 2025 then I'd get it but as things stand it sounds like a negative news but hardly a catastrophic one.

Also can't help but feel like it may have been filtered to Reuters by the people at Intel who do want to spin off IFS as a way to pressure the people that don't, but that's entirely speculation from me.
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |