Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 468 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
694
600
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E012 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ?12 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)



 

Attachments

  • PantherLake.png
    283.5 KB · Views: 24,000
  • LNL.png
    881.8 KB · Views: 25,481
Last edited:

FlameTail

Diamond Member
Dec 15, 2021
3,757
2,203
106
I also have to say I'm not quite sure why there's all this doom and gloom about 18A just yet, even in light of the recent Reuters report. If all Broadcom said to Intel is that 18A is not yet ready for HVM (which is the only thing that's being reported)..
There's also the fact that 20A is cancelled, and Intel revised the performance/power targets for 18A.
 

coercitiv

Diamond Member
Jan 24, 2014
6,595
13,918
136
Better to lose 5-10% performance than to loose time and trust and deadlines TSMC did it with N3/N3B why can't Intel do it
Because this is the third time in a row they fail a major node. They did it with 10nm, then with 7nm (renamed later to Intel 4), and now with 20A.

The transition to 18A and then 20A was supposed to be smooth, a show of strength while they returned to the bleeding edge. How confident are you now that 18A will deliver? (even with the relaxed specs)
 

511

Senior member
Jul 12, 2024
251
182
76
Because this is the third time in a row they fail a major node. They did it with 10nm, then with 7nm (renamed later to Intel 4), and now with 20A.
Yes 10nm is just on a different level it was late by like 4-5 years the only node on time was Intel 3 which is improvement over 4 20A is cancelled probably due to funding idk
The transition to 18A and then 20A was supposed to be smooth, a show of strength while they returned to the bleeding edge. How confident are you now that 18A will deliver? (even with the relaxed specs)
The D0 data is quite good for the yield and now for the delivery i am like 60-70% confidence they can deliver 18A
Intel 3 has a yield D0 of 0.1 which is very good btw
By delivery I mean product in Q2-Q3
 
Last edited:

jdubs03

Senior member
Oct 1, 2013
678
304
136
Because this is the third time in a row they fail a major node. They did it with 10nm, then with 7nm (renamed later to Intel 4), and now with 20A.

The transition to 18A and then 20A was supposed to be smooth, a show of strength while they returned to the bleeding edge. How confident are you now that 18A will deliver? (even with the relaxed specs)
To be fair since they’re already at 0.4DD with 3 quarters to go before HVM isn’t that bad. Going by the video I posted in the foundry thread, Ian doesn’t really think it’s as bad as some are trying to make it. In fact he sounds bullish on it. Really it has to be or Intel is done, certainly in its current form.
 
Reactions: controlflow

coercitiv

Diamond Member
Jan 24, 2014
6,595
13,918
136
Going by the video I posted in the foundry thread, Ian doesn’t really think it’s as bad as some are trying to make it.
I was pointing out that Intel is the boy who cried wolf now. @511 argued that canceling 20A is better than losing trust, I argue that canceling 20A is a loss of trust they cannot avoid, not because of the action itself or the state of 18A, but rather because of the reputation they gained in the last decade.
 

jdubs03

Senior member
Oct 1, 2013
678
304
136
I was pointing out that Intel is the boy who cried wolf now. @511 argued that canceling 20A is better than losing trust, I argue that canceling 20A is a loss of trust they cannot avoid, not because of the action itself or the state of 18A, but rather because of the reputation they gained in the last decade.
Fair. No doubt they’ve face planted many a time. There’s only two ways about it: execute on 18A allowing them to start building
back their reputation via orders, or go kaput.
 
Reactions: SiliconFly and 511

511

Senior member
Jul 12, 2024
251
182
76
I was pointing out that Intel is the boy who cried wolf now. @511 argued that canceling 20A is better than losing trust, I argue that canceling 20A is a loss of trust they cannot avoid, not because of the action itself or the state of 18A, but rather because of the reputation they gained in the last decade.
Well there was no external customer for 20A so which customer trust are they loosing 18A is be all and end all for them go big or broke also they are saving $0.5 billion by this
 
Reactions: Elfear

SteinFG

Senior member
Dec 29, 2021
617
728
106
it's strange how Lunar is stronger than Strix at some metrics

possible the ondie memory gives a huge perf boost?
No performance boost from on-package ram, only energy efficiency. That means when compared at some low power level, processor with on-package ram will win. And Intel has a good single-core scores, yeah. MT not so much. Also, seems like lunar has no perf gains above 25-30W, so strix is still good overall.
 
Last edited:

Hulk

Diamond Member
Oct 9, 1999
4,455
2,373
136
Didn't having 20A and 18A seem kind of redundant all along?

Also, if ARL is going to be TMSC Intel must have known this quite a while ago right?

Since TMSC and Intel are basically using the same tools why does Intel have so much trouble (constantly) nodes. I mean everything since 10nm (and even 14nm) has been kind of a nightmare for them.

It is time for Microsoft to start thinking about porting Windows to ARM? If Intel went away can AMD support/maintain/advance x86 to the extent required for it to stay alive?

Or is this how Windows dies? Software that dies due to hardware support?

I bought some Intel stock when it recently dropped. I'm trying to remain bullish... I'm still hoping Lunar Lake and ARL will be very good. But jeez, their fabs continue to be a real problem.
 
Reactions: AcrosTinus

Abwx

Lifer
Apr 2, 2011
11,516
4,302
136
As it's chip for thin&light devices performance at high power is irrelevant. Also trading fast thread speed to more combined low thread speed ain't winning scheme for good user experience.Intel is at least going to right direction, x86 devices might actually be competitive after they got more efficient interconnect to p-cores too. Now even Lunarlake is still about 2-fold behind true mobile devices for sustained low thread performance/watt

There s nothing that is traded, if an app use only a few threads then a 8C/16T will have only a few cores used a well, so at low thread count there s no difference at all, it s not like the low thread count CPU has a 50% IPC or perf advantage, we re talking of a few % difference, wich is negligible, so whic user experience are you actually talking about.?.

And once there s two threads it will be also frequency limited, because something like Firefox FI is no more limited to 1 thread since ages, and that s a typical app among such others.
 

FlameTail

Diamond Member
Dec 15, 2021
3,757
2,203
106
Since TMSC and Intel are basically using the same tools why does Intel have so much trouble (constantly) nodes. I mean everything since 10nm (and even 14nm) has been kind of a nightmare for them.
Samsung has been having trouble too. There's a lot more things than tools that matter; talent, experience, R&D, etc...

Leading edge semiconductor manufacturing is literally one of the most advanced industries humanity has created. It's not easy to do.
It is time for Microsoft to start thinking about porting Windows to ARM?
Haven't they already done that? X Elite runs WoA well. Soon Nvidia and Mediatek will have chips for WoA too.
If Intel went away can AMD support/maintain/advance x86 to the extent required for it to stay alive?
Indeed there are some who fear that the death of Intel could drag down all of x86 with it.
I bought some Intel stock when it recently dropped. I'm trying to remain bullish... I'm still hoping Lunar Lake and ARL will be very good. But jeez, their fabs continue to be a real problem.
Lunar Lake looks really good. According to OEM tests, it matches SDXE in battery life, which is extremely expensive.

The question for me is...Can Intel can keep on doing this kind of great execution for the upcoming several years?
 
Jun 1, 2024
118
159
76
Didn't having 20A and 18A seem kind of redundant all along?

100%, marketing tricks (imposing fear/fomo)

Since TMSC and Intel are basically using the same tools why does Intel have so much trouble (constantly) nodes. I mean everything since 10nm (and even 14nm) has been kind of a nightmare for them.

god knows how many hacks and tricks (and corrosion lol) their FrankenNodes have

It is time for Microsoft to start thinking about porting Windows to ARM? If Intel went away can AMD support/maintain/advance x86 to the extent required for it to stay alive?

I guess their ongoing porting Windows to Rust language will help them recompile to ARM/any much more efficiently
 

511

Senior member
Jul 12, 2024
251
182
76
Didn't having 20A and 18A seem kind of redundant all along?

Also, if ARL is going to be TMSC Intel must have known this quite a while ago right?

Since TMSC and Intel are basically using the same tools why does Intel have so much trouble (constantly) nodes. I mean everything since 10nm (and even 14nm) has been kind of a nightmare for them.
Well BK pulled the funding on 10nm and gave unrealistic gains with unrealistic changes in a node hence 10nm also they missed EUV kinda ironic intel funded entire EUV Research in 90s from which ASML was born
It is time for Microsoft to start thinking about porting Windows to ARM? If Intel went away can AMD support/maintain/advance x86 to the extent required for it to stay alive?

Or is this how Windows dies? Software that dies due to hardware support?

I bought some Intel stock when it recently dropped. I'm trying to remain bullish... I'm still hoping Lunar Lake and ARL will be very good. But jeez, their fabs continue to be a real problem.
They have underinvested in fab for so long now they are investing and it's tanking manufacturing Leading Edge is not easy why do you think TSMC is a monopoly
 

SteinFG

Senior member
Dec 29, 2021
617
728
106
Interesting how a year ago, this roadmap was leaked, and it seems like intel was already prepared to for 20A to not be available. Nothing here is reliant on Intel 20A. Purple is N3, Light blue is intel 4 + N6, and dark blue is intel 7.

The only product that was reliant on 20A was Non-K i3 and i5 on desktop if I recall.

edit: RPL-U and RPL-H is just ADL-U and ADL-H chips with no changes, so intel will be milking 2+8 snd 6+8 alder lake for 4 years straight (2022, 2023, 2024, 2025), if not more. Alder lake forever!
 
Last edited:

Josh128

Senior member
Oct 14, 2022
284
402
96
Didn't having 20A and 18A seem kind of redundant all along?

Also, if ARL is going to be TMSC Intel must have known this quite a while ago right?

Since TMSC and Intel are basically using the same tools why does Intel have so much trouble (constantly) nodes. I mean everything since 10nm (and even 14nm) has been kind of a nightmare for them.

It is time for Microsoft to start thinking about porting Windows to ARM? If Intel went away can AMD support/maintain/advance x86 to the extent required for it to stay alive?

Or is this how Windows dies? Software that dies due to hardware support?

I bought some Intel stock when it recently dropped. I'm trying to remain bullish... I'm still hoping Lunar Lake and ARL will be very good. But jeez, their fabs continue to be a real problem.
Yes, 18A is only supposed to be a half node upgrade from 20A. If its faster and cheaper to forego it and plunge all resources to 18A, I say go for it.

ARL was developed using compatible tools for 20A and 3nm, supposedly, but yes, Intel knew 20A would not be ready in time for launch.

About the stock, I bought some in the 40s, then 30ish, then at 20. I think Lunar and Arrow will be competitive/slightly superior in some aspects to Zen 5, but it uses a more expensive process and packaging. If Intel is to price it competitively, their margins on these products will not be very good, so regardless of how they sell, I wouldnt bank on them helping the stock much. Clearwater Forest will be more of a needle mover in that regard, as it uses Intels own Intel 3 node.

What every stock holder is waiting for is whether or not 18A will succeed on delivery, yield, and performance. This will make or break the stock regardless of everything else.
 
Reactions: Executor_ and Hulk

AcrosTinus

Member
Jun 23, 2024
72
44
51
I had a feeling that Intel 20A would not see the daylight.
Their choice to focus on 18A instead of a internal node with no customers, saving 500mil is right by me.

The explanation that the low defect rates of 18A is leading the acceleration is also fine by me.

I can feel the pressure in this product, they need to bring it to the market no matter what, don't let perfect be the enemy of good enough or whatever the saying is.
 

AcrosTinus

Member
Jun 23, 2024
72
44
51
Yes, 18A is only supposed to be a half node upgrade from 20A. If its faster and cheaper to forego it and plunge all resources to 18A, I say go for it.

ARL was developed using compatible tools for 20A and 3nm, supposedly, but yes, Intel knew 20A would not be ready in time for launch.

About the stock, I bought some in the 40s, then 30ish, then at 20. I think Lunar and Arrow will be competitive/slightly superior in some aspects to Zen 5, but it uses a more expensive process and packaging. If Intel is to price it competitively, their margins on these products will not be very good, so regardless of how they sell, I wouldnt bank on them helping the stock much. Clearwater Forest will be more of a needle mover in that regard, as it uses Intels own Intel 3 node.

What every stock holder is waiting for is whether or not 18A will succeed on delivery, yield, and performance. This will make or break the stock regardless of everything else.
I hope.
Maybe some refreshes on the new socket will return to Intel nodes.
 

SteinFG

Senior member
Dec 29, 2021
617
728
106
ultra 3 will use n3b! That good news, expect 6+4 work around 50w!
dude, we ain't getting ultra 3 chips with TSMC N3B, wake up 😁
Too expensive for intel. It's gonna be alder lake and raptor lake at the bottom (or bartlet lake 12+0, if it even comes out to regular people)

Also, ultra 3 was supposed to be 4+4. Because 6+4 is already ultra 5
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |