Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 502 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
695
601
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E012 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ?12 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)



 

Attachments

  • PantherLake.png
    283.5 KB · Views: 24,000
  • LNL.png
    881.8 KB · Views: 25,481
Last edited:

jdubs03

Senior member
Oct 1, 2013
700
315
136
Intel is about to go out of business because their fab has failed to execute time after time. If you were head of Intel do you still make important products to not yet production ready internal process without making back-up plan - dual source your products? I think that now every Intel product is dual sourced and tsmc version will be released on time if internal fab fails - which is more than likely.
Geez that’s a lot of gloom and doom. Sounds like the BoD meeting yielded some positive steps, and the Amazon deal helps a bit too. The $3.5 billion DoD Secure Enclave is providing funding to the fab side. They’re still awaiting the $8.5 billion tranche from the CHIPs act.
I think about to go out of business is a bit much.
 

naukkis

Senior member
Jun 5, 2002
878
757
136
Geez that’s a lot of gloom and doom. Sounds like the BoD meeting yielded some positive steps, and the Amazon deal helps a bit too. The $3.5 billion DoD Secure Enclave is providing funding to the fab side. They’re still awaiting the $8.5 billion tranche from the CHIPs act.
I think about to go out of business is a bit much.
Money ain't have been problem in past failures. Intel is out of server business if their fab fails again. They switch their client business to tsmc and server side can make same switch - but only if decision to start backup plan is made early enough. After their fab fails is way too late to change plans - Intel is crazy if they have bet everything on one card without backup plans as they have already have bought needed capacity from tsmc.
 

511

Senior member
Jul 12, 2024
298
195
76
Money ain't have been problem in past failures. Intel is out of server business if their fab fails again. They switch their client business to tsmc and server side can make same switch - but only if decision to start backup plan is made early enough. After their fab fails is way too late to change plans - Intel is crazy if they have bet everything on one card without backup plans as they have already have bought needed capacity from tsmc.
Thier Fab failure means US will loose leading edge chip manufacturing which is a tall order imo anyway their fabs have been awesome pre 10nm they invented half the stuff TSMC copied hell TSMC N3 Uses a feature intel used in 22nm node lol they just need to get back on track and Design should have back-up in form of TSMC
The feature is SAC
 

desrever

Member
Nov 6, 2021
170
449
106
We have reach a stage where official info is not official according to some people bruh you can't lie on such a large scale for Intel 18A They have been selling it to investor as their lead product stop spreading lies on things that are confirmed
They lied about 20A and ARL, why would anyone trust Intel.
 
Last edited:
Reactions: 511 and KompuKare

mikk

Diamond Member
May 15, 2012
4,233
2,290
136
They kept saying everything was on track until the last minute, obviously they lied.

Who is to say anything out of Intel's slide wouldn't get changed at any time since they mean nothing?


No this is false, they simply ignored talking about 20A for months. It was on track maybe a year ago or something like that. It's not like they claimed on track shortly before they cancelled it you are implying.
 
Reactions: controlflow

OneEng2

Member
Sep 19, 2022
31
35
51
No, you don't get it what Intel will just sell N3 wafers as 18A, theres no way they could launch a product, it's against the fundamental law \s
I don't know for a fact, but my guess is these advanced processor designs at Intel are betting on the transistor density and power efficiency of 18A in order to have a viable product offering.

I suspect that it is not possible for the design to exist on N3X..... so I agree with others ..... Intel has a whole lot riding on 18A.
 

Magio

Member
May 13, 2024
64
54
51
20A was by far the sanest cut to make for Intel. There were already almost no products slated to use it besides a few (likely low volume) ARL SKUs and it was certainly not slated to be offered to foundry customers. If they had infinite cash and infinite trust from investors then sure, go ahead with that to beta test GAAFETs and BSPD ahead of 18A HVM, but if you don't then reallocating those resources directly towards 18A is the only logical choice.
 

The Hardcard

Member
Oct 19, 2021
199
288
106
They kept saying everything was on track until the last minute, obviously they lied.

Who is to say anything out of Intel's slide wouldn't get changed at any time since they mean nothing?
That doesn’t mean they lied. “Every Thing Was On Track Until I Realized I Didn’t Have The Money” would work as the title of my autobiography or the summary of the contents.
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |