Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 511 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
695
601
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E012 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ?12 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)



 

Attachments

  • PantherLake.png
    283.5 KB · Views: 24,004
  • LNL.png
    881.8 KB · Views: 25,487
Last edited:

Ghostsonplanets

Senior member
Mar 1, 2024
685
1,111
96
Pretty nice for ARL-U.
Intel 3 has HD libraries. There is no evidence that this has changed or that there was any modification of specs.

View attachment 108029

View attachment 108030
Intel 3 is a really good node.
Raichu posted 5.3ghz for ARL-U. That is basically a refresh of MTL-U on Intel 3 and has 400mhz frequency gain. It would be interesting to compare performance/efficiency against MTL-U once its out.
Bionic said ~10 - 15% increased MT performance at the same power.
 

OneEng2

Member
Sep 19, 2022
44
47
61
Well 18A is on par with N3P according to TSMC which

N2 is still GAA but not much improvement vs N3P feels like N3B over again

DTCO/STCO will be the next big thing
It is my (admittedly limited) understanding that GAA provides more gate area in the same space. This (in theory) should lead to less leakage and more defined switch states at the transistor level.

If they can get it to work and yield well, I suspect that it will be quite an improvement over N3P. Intel, for most of their history, has had higher density node designs since I have been interested in CPU and process designs (a few decades).

It is pretty much my gut feel that Intel has kept ahead of the industry by its superior process design, not necessarily by its superior processor designs (not to say they are all bad, because some of them have been quite good).
Intel 10nm on par in density to 7nm TSMC. I mean 10nm is bigger. It had better performance due to monolothic die. And Golden Cove whipped Zen 3 on 7nm by 17% better IPC and faster clocks. But more space efficient 10nm was not. And certainly not more power efficient

Well the Zen 5C cores will whip Gracemont e-cores and the only 6% improved Crestmonts. Gracemont e-cores are Skylake level with low 4.X GHz clocks

But Skymont is a massive 37% INT and 68% FP over Crestmont which is already better Gracemont by like 6% and Skymont has Raptor Cove IPC.

SO Skymont will spank Zen 5C easily. Zen 5C is reduced clocks gimped cache and weak Zen 5 IPC which is hardly improvement over Zen 4. And Raptor Cove has better IPC than Zen 4 and 5. And Skymont is supposed to have 2% better IPC than Raptor Cove, It will whip Zen 5C having equal or better clocks in addition to superior IPC.
IIRC, Intel 10nm was more dense than TSMC 7. Please correct me if I am off base here.

I am very much looking forward to benchmark comparisons of Skymont vs ZEN 5c on the same process node. IMO, this will provide the most even comparison of Intel and AMD processor designs ever.

A quick look at the architectures looks like Skymont may be slightly more potent in some respects; however, it lacks SMT that Zen 5c still has. AMD's SMT has also been particularly good adding ~30% performance in highly threaded workloads.

It appears to me that Intel wanted the die space to make the single core performance better. I think we will have to wait for server benchmarks to see if this was a wise decision or not. If Intel can not staunch the server market share bleeding with the next round of server chips, they will indeed be in trouble IMO.
Because government is the penultimate monopoly with near zero consequences to it's actions.

Rather than deregulation things would be better solved if certain crimes couldn't be *cough* bribed *cough* lobbied and/or you can pay your way out of jail and/or you fine the company rather than fining/jailing the individuals responsible.

Volkswagen was fined a massive amount and most of the individuals responsible basically got off with a mere slap in the wrist. Let's say instead they were put in prison regardless of position or fined relative to their earnings, meaning a millionaire would be fined 10x compared to someone having 10x less.
While I agree that prison would definitely be a better deterrent than the company being penalized, You don't tend to stay an executive very long if you are paying out multi-billion dollar fines to CARB every year, so your example is actually a great example of how government oversite has worked.
3nm is not slightly better than 4nm, its a full node advancement.
I would tend to agree; however, the exact impact of a "full node" isn't what it once was for sure. Still, having a 50% larger transistor budget in the same die size target is NOT a small thing. I would argue you would have to be plain brain dead not to produce a more performant CPU design given such an advantage.

So vs N4P, 3%-8% lower power, 1.64x density, -1 to +4% perf. Vs N4X, unknown power savings, unknown density, roughly equal perf? It is unknown whether Zen 5 uses N4P or N4X, and its said that Intel uses N3B, which is not shown here. Im sorry, but this is not enough information to declare with any degree of certainty that density is the only advantage ARL has over Zen 5.
I agree completely. I would definitely say that Zen 5 has ONE advantage that is for certain over ARL ..... it cost less to produce. This is ALSO not "nothing" in the real world.
Zen 5 uses N4P. From the process perspective, N3B’s only significant advantage is logic density.
Still, not insignificant at all with respect to transistor budget IMO.
 

cannedlake240

Member
Jul 4, 2024
59
16
41
The density gain is only 30% over Intel 3.
*1.3x Chip density. That metric usually combines IO, SRAM and Logic. Notable that TSMC N3B is also a 1.3X chip density increase over N5, which on paper is less dense than Intel 3. With Clearwater reportedly having 300B transistors in total it's likely that 18A is on par or better than N3 in Area of PPA. Now if CWF is using HP logic cells, it'd mean 18A is potentially capable of even higher density, not too far behind N2.
 

Hitman928

Diamond Member
Apr 15, 2012
6,111
10,482
136
Well, 3-8% power efficiency is not insignificant when you are talking about ~250W power levels.

Also, while it is said that Lunar Lake uses the N3(B) node, where was it confirmed that Arrow Lake doesnt use N3P node? It seems counterintuitive that Intel would use a mobile class process for its high performance desktop chips, yet still manages to squeeze out 5.7GHz from that process at an acceptable power draw. It seems much more likely that Arrow Lake is using N3P than N3B, which is used for Lunar Lake with efficiency in mind.



Only Intel CPUs use that much at stock.

If ARL is launching this year, N3P wasn’t ready in time for Intel to use it.
 

Josh128

Senior member
Oct 14, 2022
332
446
96
N3P was slated to enter volume production in 2H24, according to TSMC. If it was slightly ahead of schedule, it could have been producing since July or earlier. If not, we dont really know what variant is being used, but theres no way an LP / mobile node is producing 5.7GHz x86. Plus, theres rumors of a 295K that will clock even higher. Design tweaks of some type most definitely had to be made to accomodate HPC.


 

Hitman928

Diamond Member
Apr 15, 2012
6,111
10,482
136
N3P was slated to enter volume production in 2H24, according to TSMC. If it was slightly ahead of schedule, it could have been producing since July or earlier. If not, we dont really know what variant is being used, but theres no way an LP / mobile node is producing 5.7GHz x86. Plus, theres rumors of a 295K that will clock even higher. Design tweaks of some type most definitely had to be made to accomodate HPC.



Even if it was producing by July, you’re not getting products on shelves in October.
 

511

Senior member
Jul 12, 2024
386
256
96
Really? Where does it say that? Actually, it clearly shows N3B is better than N4P in all aspects!
N5 -> N3B is 10-15% PPW while N5 - N4 is 11% and than N4 -> N4P is 6% so it comes out at 17% ppw and is cheaper than N3B like way cheaper density and sram the only advantage of N3B
 
Last edited:

jdubs03

Senior member
Oct 1, 2013
785
382
136
Looking interesting, on average the 9950X is 10% higher. The 7950X was 12% higher than the 13900K/14900K.

Weird though looking into the table filters that the 13900KS scores 40K and the 14900KS scores just under 37K.




Also looks like the Lunar Lake review embargo ends at 3am Eastern time Tuesday morning.
 
Last edited:

511

Senior member
Jul 12, 2024
386
256
96

Det0x

Golden Member
Sep 11, 2014
1,253
3,952
136
Last edited:

jdubs03

Senior member
Oct 1, 2013
785
382
136
That Zen5 ES got 56k when limited to 230w PPT
(stock 9950X PPT limit is 200w)
View attachment 108047
Hmm. When looking at the Tom‘s hardware review, the 9950X with PBO enabled there’s about a 5 to 6% gain in the V-Ray score. It’s definitely setup dependent. And there they only went from 47,000 up to 49,000 by enabling PBO. The FPSreview site looks like it has a baseline of 49,860, so applying that same percentage gets up to near 53,000. Looks like one of those mileage may vary scenarios. But still it looks like a 17 to 18% advantage, but we’ll still have to wait and see what the power output of the 285K is.
 
Reactions: 511 and Det0x

DrMrLordX

Lifer
Apr 27, 2000
22,020
11,594
136
If ARL is launching this year, N3P wasn’t ready in time for Intel to use it.
Wouldn't N3P (and N3E) require a new set of masks anyway? Plus we only know that Intel has secured an N3B supply from TSMC. Getting N3E or N3P would probably take more cash that Intel probably doesn't have to spend (for now). TSMC is making them pay up front for capacity if I recall correctly.
 

511

Senior member
Jul 12, 2024
386
256
96
Wouldn't N3P (and N3E) require a new set of masks anyway? Plus we only know that Intel has secured an N3B supply from TSMC. Getting N3E or N3P would probably take more cash that Intel probably doesn't have to spend (for now). TSMC is making them pay up front for capacity if I recall correctly.
Intel secured 3nm it's upto them to use N3B/E or whatever depending on the contract
 

Hans Gruber

Platinum Member
Dec 23, 2006
2,302
1,216
136
Does anybody know if the efficiency numbers Intel was spreading about Arrow Lake are based on 20A or TSMC silicon? Was 20A a problem or is the N4P TSMC silicon more efficient?
 

Det0x

Golden Member
Sep 11, 2014
1,253
3,952
136
Last edited:

DrMrLordX

Lifer
Apr 27, 2000
22,020
11,594
136
Intel secured 3nm it's upto them to use N3B/E or whatever depending on the contract
Yes, depending on the contract. Plus N3E and N3P are different enough from N3B that lines that were outfitted to supply Intel with N3B may need some retooling (not sure on that one). The only thing we know for sure is that Intel paid up front for a supply of N3B.
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |