Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 512 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
695
601
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E012 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ?12 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)



 

Attachments

  • PantherLake.png
    283.5 KB · Views: 24,004
  • LNL.png
    881.8 KB · Views: 25,487
Last edited:

Hitman928

Diamond Member
Apr 15, 2012
6,111
10,482
136
Wouldn't N3P (and N3E) require a new set of masks anyway? Plus we only know that Intel has secured an N3B supply from TSMC. Getting N3E or N3P would probably take more cash that Intel probably doesn't have to spend (for now). TSMC is making them pay up front for capacity if I recall correctly.

Not sure what you’re asking. Are you asking if it would require new masks if it started as N3b and was ported?
 

Nothingness

Diamond Member
Jul 3, 2013
3,054
2,020
136
Intel new code name Razer Lake is out. Maybe a replacement for the now cancelled ARL Refresh. Or a successor to panther lake, with Nova Lake being the desktop. OR follows Nova Lake...
Why does that make me think of that '84 movie Razorback?

Does someone know of a resource that shows Intel CPU codenames and inheritance across generations? This is becoming hilariously messy.
 
Reactions: lightmanek
Jul 27, 2020
19,761
13,556
146
Does someone know of a resource that shows Intel CPU codenames and inheritance across generations? This is becoming hilariously messy.

Good luck with the inheritance part.
 

Nothingness

Diamond Member
Jul 3, 2013
3,054
2,020
136

OneEng2

Member
Sep 19, 2022
44
47
61
Wow.

I had heard, but never seen the benchmarks, people saying that AMD gets more improvement from SMT than Intel does, but this is the first I have seen in it a chart.

I suspect that AMD has devoted a good amount of die space resource to its implementation of SMT in order to achieve such a result. Intel, on the other hand, has a more limited implementation.

This outlines the need for a nuanced discussion when talking about single threaded benchmarks that are used to extrapolate to a multi-threaded workload.

With Intel abandoning SMT completely, separate discussions need to be had on single threaded IPC and multi-threaded IPC.

I am also wondering how the latest bios update AMD released will effect server applications (drastically reduced CCD to CCD latency). There has been some talk in this thread about the relative glue fabric logic used between the 2 companies with some suggesting that Intel's glue logic is better than AMD's infinity fabric design (which I would need to see some benchmarks to either agree or disagree with).

I am very interested to see the LLK testing to see how Intel's design decisions are going to play out.
 

FlameTail

Diamond Member
Dec 15, 2021
3,845
2,284
106

I find headlines like these to be rather disingenuous. Yes, Lunar Lake will repair Intel's reputation and bring them back to the technological edge (with regards to performance-per-watt especially). But Lunar Lake will not help Intel's financials much, because it's a niche part for premium thin-and-light laptops, so rather low volume.
 
Jul 27, 2020
19,761
13,556
146
I'm beginning to think Intel got rid of SMT to avoid the static partitioning of core resources:

Redwood Cove appears to inherit Golden Cove’s 12K entry BTB capacity, but drops latency from 3 to 2 cycles. Strangely, I can only see half the BTB capacity from a single thread. Two threads together can use the entire BTB.
A 128 entry L1 BTB can handle taken branches with just one cycle of latency. An interesting note is it has a maximum throughput of one branch target per cycle as well. If two threads are spamming branches, each thread sustains one taken branch every two cycles. So, the 128 entry L1 BTB doesn’t have more bandwidth than the main 12K entry BTB, just better latency.
Micro-ops from the op cache or decoders are sent to a queue in front of the rename/dispatch stage. Intel increased the size of this queue to 192 entries, up from 144 entries on Golden Cove. If both SMT threads are active, the queue is statically partitioned so that each thread gets half.

And then crazy revelations like this blew my mind:

Intel’s Pentium 4 introduced branch hint extensions. A compiler or assembly programmer can add a prefix indicating whether a branch is more likely to be taken more not taken. The prefixes are as follows:
  • 0x2E: Not-taken hint
  • 0x3E: Taken hint
Subsequent CPUs ignored the hint, likely because increasing transistor budgets allowed better branch predictors, diminishing the value of such static prediction. But Redwood Cove now recognizes the 0x3E taken hint prefix.

All I can think of is, it's so bad that they had to revive something from Pentium 4 !!!

Intel continues to use a 4096 entry micro-op cache. I commented on how I couldn’t see Golden Cove’s full micro-op cache capacity back in 2021. Now I can. Using both SMT threads does the trick. I also dug into performance counters to see if a single thread somehow hit a slowdown unrelated to spilling out of the op cache. Apparently not.

Perhaps Intel decided to permanently partition the micro-op cache between two threads in Golden Cove, unlike prior architectures that could give a thread the entire micro-op cache if the core is in single threaded mode.

Oh great. That's a regression.



Another regression!

the nearly forgotten Broadwell architecture from 2015 brought floating point multiply latency down from 5 cycles in Haswell to 3 cycles. Immediately after, Skylake regressed FP multiply latency back to four cycles. Skylake had 4 cycle latency for FP adds, multiplies, and fused multiply adds. Intel may have reused the fused multiply-add unit with a multiplier of 1 and addend of 0 to implement adds adds and multiplies, respectively. Golden Cove saw Intel cut FP addition latency to 2 cycles. And now Redwood Cove cuts FP multiply latency to 3 cycles.
Wait. Let me see. Skylake. Kaby Lake. Coffee Lake. Coffee Lake Refresh. Comet Lake. Rocket Lake. Alder Lake.

Seven generations they did not have the transistors to bring the FPMUL latency down. No words. Just no words.
 
Jul 27, 2020
19,761
13,556
146
Would be hilarious if Intel sabotaged itself by switching up platforms again after Arrow Lake lmao
Optimistically, maybe this time it's the other way around. This time whatever comes after the Refresh is progressing so well that they don't need the Refresh to hold them over. Post Nova Lake should be DDR6 so platform change is inevitable but hopefully they will have DDR5 mobos for that too.
 

poke01

Platinum Member
Mar 8, 2022
2,081
2,612
106
Optimistically, maybe this time it's the other way around. This time whatever comes after the Refresh is progressing so well that they don't need the Refresh to hold them over. Post Nova Lake should be DDR6 so platform change is inevitable but hopefully they will have DDR5 mobos for that too.
There is no DDR6 on desktop. It’s LPCAMM2 with LPDDR6
 
Reactions: Tlh97

SiliconFly

Golden Member
Mar 10, 2023
1,519
870
96
Weren’t all of them more dense than N7?
Yep.


I find headlines like these to be rather disingenuous. Yes, Lunar Lake will repair Intel's reputation and bring them back to the technological edge (with regards to performance-per-watt especially). But Lunar Lake will not help Intel's financials much, because it's a niche part for premium thin-and-light laptops, so rather low volume.
With the kinda design wins, LNL doesn't appear to be a low volume part anymore.

There is no DDR6 on desktop. It’s LPCAMM2 with LPDDR6
Sounds awesome!
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |