Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 523 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
702
632
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E012 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop & Mobile H&HXMobile U OnlyMobile H
Process NodeIntel 4TSMC N3BTSMC N3BIntel 18A
DateQ4 2023Desktop-Q4-2024
H&HX-Q1-2025
Q4 2024Q1 2026 ?
Full Die6P + 8P8P + 16E4P + 4E4P + 8E
LLC24 MB36 MB ?12 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)



 

Attachments

  • PantherLake.png
    283.5 KB · Views: 24,014
  • LNL.png
    881.8 KB · Views: 25,501
Last edited:

jdubs03

Golden Member
Oct 1, 2013
1,079
746
136
So what? Apple cores operate at much lower clock speeds, are in a completely different software model (ARM) and do not offer high enough performance (if at all) to justify a mass switch of PCs to ARM processors. Most people don't know what IPC is, and for many it's about x86 and peak performance. IPC and architecture are only interesting to us (enthusiasts), for the rest of the people it doesn't really matter much.
Apple has made some inroads into Intels market share lead for laptops over the recent years. And the M4 Pro/Max should be a pretty solid package overall. The Max won’t be at 9950X/285K in MT for desktop-level performance (courtesy of its lower thread count), but it will sip power in comparison and smash the competition in ST at the same time. The Max in a laptop form factor might even match or come close to HX class performance (again at much less power).
 
Last edited:
Reactions: Tlh97

AMDK11

Senior member
Jul 15, 2019
438
360
136
Apple has made some inroads into Intels market share lead for laptops over the recent years. And the M4 Pro/Max should be a pretty solid package overall. The Max won’t be at 9950X/285K (and MT in desktop-level performance (courtesy of its lower thread count), but it will sip power in comparison and smash the competition in ST at the same time. The Max in a laptop form factor might even match or come close to HX class performance (again at much less power).
How much faster will it be in ST? I don't think this is a big enough vulnerability to justify moving from an entire x86-64 environment.

For me, ARM is just a curiosity that is nice to have and shows what can still be achieved in terms of computing core design and IPC achieved. But I'm not going to give up on x86 just for this reason, and x86 is growing and will continue to be in good shape despite temporary weaknesses/downtime.

Further development of x86S 1.2 and the introduction of APX instead of 16x GPR 64-bit, 32x GPR 64-bit will provide significant benefits.

"Intel® APX doubles the number of general-purpose registers (GPRs) from 16 to 32. This allows the compiler to keep more values in registers; as a result, APX-compiled code contains 10% fewer loads and more than 20% fewer stores than the same code compiled for an Intel® 64 baseline.2 Register accesses are not only faster, but they also consume significantly less dynamic power than complex load and store operations."
 

SiliconFly

Golden Member
Mar 10, 2023
1,651
996
96
... I have said it many time Intel's P core team is horrendously bad look at how efficient and cool and less power Sierra forest consumes it's not because of node but because P cores are disappointing ...
Even I'd like to say RWC is a horrible nightmare, but one of our friend here may disagree!

Intel will have 2 differentiation Supply and good SW i don't believe AMDs supply even in server space otherwise with the massive lead they have they should have taken market share crazily which they didn't it will be even tougher now
Very true. Like in clients, AMD dominance in the server space too is effectively over. They now have to fight real hard.

About this part i have heard that many projects are moving inhouse from TSMC as they are getting their foundry game back even in semiwiki lot's of talk is going on how Intel will be mostly inhouse after N3 they Used TSMC to save themselves
Imho, it's too soon to move everything in-house. They're definitely getting their foundry game back. Process leadership with both GAAFET+BSPDN in 18A, while TSMC N2 only has GAAFET. But overall leadership is a different game. TSMC has solid capacity & they ramp up well too. Intel 18A full capacity isn't expected until late 2026. Intel still needs TSMC for the foreseeable future.

I strongly feel that Intel should chop off IFS and sell it to the highest bidder. Even in this case, they can still continue to use IFS and also TSMC and possibly even samsung for some uncore tiles. A win-win-win. But many feel otherwise.

TSMC N4P: 3-3 Fin -> 98 MTr/mm²
TSMC N3B: 3-3 Fin -> 124 MTr/mm²
Intel 3: 3-3 Fin -> 124 MTr/mm²
Since Intel & AMD are using only 3-3 in their CPUs, there's something that needs to be pointed out. It isn't usually mentioned cos it may infuriate many. But it's extremely hilarious.

TSMC N3E density has regression and is actually only ~118 MTr/mm2 which is lower than Intel 3's density 123.4 MTr/mm2 !!! Intel 3 is BETTER !!!

(Pls don't shoot the messenger)
 

jdubs03

Golden Member
Oct 1, 2013
1,079
746
136
How much faster will it be in ST? I don't think this is a big enough vulnerability to justify moving from an entire x86-64 environment.
The M4 series should be about 10 to 15% faster in outright single thread performance; based on the M4 clocking to 4.4GHz.

On a sidenote, I’m surprised that Apple doesn’t increase the clock speeds a little bit on the Pro and Max SKUs, seems like a way for further differentiation.
 

cannedlake240

Senior member
Jul 4, 2024
206
110
76
RWC is a horrible nightmare
Then all of Intel's modern cores are? Redwood is literally just a node shrink of Golden cove. The reason it regressed a bit was due to abysmal uncore perf( ring clock <4ghz, high memory latency). Plus all x86 cores perform worse in mobile variants due to power reasons. Just look at Zen mobile vs Desktop, same with Zen 5. Lion cove is more complicated because it inherits the SoC from MTL
 

AMDK11

Senior member
Jul 15, 2019
438
360
136
The M4 series should be about 10 to 15% faster in outright single thread performance; based on the M4 clocking to 4.4GHz.

On a sidenote, I’m surprised that Apple doesn’t increase the clock speeds a little bit on the Pro and Max SKUs, seems like a way for further differentiation.

Well, I don't know if the difference is big enough to be worth switching from x86 to ARM. As I wrote, there is no gap, and changing from x86 to ARM means a gap and giving up the entire environment that I, among others, care about.
 
Reactions: Tlh97 and jdubs03

AMDK11

Senior member
Jul 15, 2019
438
360
136
Then all of Intel's modern cores are? Redwood is literally just a node shrink of Golden cove. The reason it regressed a bit was due to abysmal uncore perf( ring clock <4ghz, high memory latency). Plus all x86 cores perform worse in mobile variants due to power reasons. Just look at Zen mobile vs Desktop, same with Zen 5. Lion cove is more complicated because it inherits the SoC from MTL
RedwoodCove in GraniteRapids is something more because it includes an 8-Way decoder like LionCove and gives a gain of about +10% to IPC.
 

cebri1

Senior member
Jun 13, 2019
373
405
136
RedwoodCove in GraniteRapids is something more because it includes an 8-Way decoder like LionCove and gives a gain of about +10% to IPC.
I believe a little bit less than that. Close to 6-7%, I think the new memory and the added cache are doing the heavy lifting. RWC+ is still GC++++. A notch behind Zen5 but not a whole generation behind. Not bad for a 3 year old arch with some tweaking.
 

AMDK11

Senior member
Jul 15, 2019
438
360
136
I believe a little bit less than that. Close to 6-7%, I think the new memory and the added cache are doing the heavy lifting. RWC+ is still GC++++. A notch behind Zen5 but not a whole generation behind. Not bad for a 3 year old arch with some tweaking.
Intel officially acknowledges that GraniteRapids' RedwoodCove has an 8-wide decoder, which MeteorLake does not.
 

poke01

Platinum Member
Mar 8, 2022
2,580
3,408
106
almost no one is switching because Apple is 15% faster in ST and has better perf/w, these benefit Apple and Mac users only.

Now unless Apple decides to sell its chips to others only then do they pose a real threat to Intel. For now Apple beating Intel is wrong in optics only.
 

OneEng2

Senior member
Sep 19, 2022
259
356
106
Depends on metrics
density it is slightly behind but on PPW it is between N3E and N5 and the fin configuration as well you can check both of these it is between N4P and N3E also the 3-3 fin is similar between Intel 3 and N3E according to wikichip
All the sources are listed stop saying Intel 3 is at N5 level outside of density unless specifying the use case which in Terms of Intel and AMD is HPC
By TSMC own admission N3P is on Par with 18A
View attachment 108213

Yes all those years of 14nm++++ and 10nm+++
Are finally ending pat should get credit for correcting predecessors mistake

I have said it many time Intel's P core team is horrendously bad look at how efficient and cool and less power Sierra forest consumes it's not because of node but because P cores are disappointing

I think it will be 25-30% dependent on workloads

It's not crestmont but a buffed up chadmont so i don't think performance per core gap will be that much wider between 5C/ and Darkmont TSMC saying 18A matches N3P so how is it struggling considering N3P is the best Process in N3 family 🤣

Intel will have 2 differentiation Supply and good SW i don't believe AMDs supply even in server space otherwise with the massive lead they have they should have taken market share crazily which they didn't it will be even tougher now
If Intel makes the 18A process release on time they will be up against AMD on N3P ... true, but I am GUESSING that Intel will release Q4 2025 at the earliest. Samsung had a he** of a time with GAA. Yields I believe. I just find it hard to believe (and have since Intel came up with the crazy aggressive process roadmap slide) that Intel is going to pull off such a large number of changes into 18A. I guess I just lost faith after the afore mentioned 14++++++ and 10+++++ fiasco .

You may be right about the P core architecture. It seems like the perf/watt of the E cores is impressive in comparison. We will have to re-visit the performance guessing after release and see which one of us was closer .
TSMC N4P:
3-3 Fin -> 98 MTr/mm²
2-2 Fin -> 144 MTr/mm²

TSMC N3B:
3-3 Fin -> 124 MTr/mm²
2-2 Fin -> probably exceeds 180 MTr/mm²

Intel 3:
3-3 Fin -> 124 MTr/mm²
2-2 Fin -> 144 MTr/mm²

This ignores performance entirely, that's much harder to quantify.
So Intel 3 is roughly equivalent to N4P and below N3B. This is not different from what I have read other places.

So 2024 Turin will be on N4P and therefore be about process equivalent to Granite Rapids Intel 3. Since both designs utilize "P" core only technology, it will be interesting to see how power effective each design will be when placed in the context of being design power limited.
 

AMDK11

Senior member
Jul 15, 2019
438
360
136
almost no one is switching because Apple is 15% faster in ST and has better perf/w, these benefit Apple and Mac users only.

Now unless Apple decides to sell its chips to others only then do they pose a real threat to Intel. For now Apple beating Intel is wrong in optics only.
This is not enough for such a radical change from x86 to ARM. If only it were 40-50% more but it isn't. I don't think over 90% of people would choose ARM (Apple Mx) just because it has a much higher IPC and an overall ST performance of +10-15%. Most people have no idea about core micro architecture and IPC. So true.
 

OneEng2

Senior member
Sep 19, 2022
259
356
106
Of course, Turin D 192 core will be on N3E and provide both power and density improvements. But then, it won't be Intel P cores that this Turin will be up against (I don't believe).
 

FlameTail

Diamond Member
Dec 15, 2021
4,237
2,591
106
TSMC N4P:
3-3 Fin -> 98 MTr/mm²
2-2 Fin -> 144 MTr/mm²

TSMC N3B:
3-3 Fin -> 124 MTr/mm²
2-2 Fin -> probably exceeds 180 MTr/mm²

Intel 3:
3-3 Fin -> 124 MTr/mm²
2-2 Fin -> 144 MTr/mm²

This ignores performance entirely, that's much harder to quantify.
Where are these density values from?
 

DavidC1

Golden Member
Dec 29, 2023
1,211
1,931
96
Keep in mind when comparing Lion Cove to Zen 5 that Lion Cove is a mere expansion of what it was before and Zen 5 is a departure. Zen 5 is not only substantially smaller than Lion Cove, it has way more headroom for it in the future because it's a change in architecture. This is despite the Lion Cove being on N3B.

Without Skymont adding heaps, Arrowlake would have been a dud with all Lion Cove cores.

It might be "Lion" Cove, but it's more like a fat house cat.
 
Reactions: Kryohi

AMDK11

Senior member
Jul 15, 2019
438
360
136
Keep in mind when comparing Lion Cove to Zen 5 that Lion Cove is a mere expansion of what it was before and Zen 5 is a departure. Zen 5 is not only substantially smaller than Lion Cove, it has way more headroom for it in the future because it's a change in architecture. This is despite the Lion Cove being on N3B.

Without Skymont adding heaps, Arrowlake would have been a dud with all Lion Cove cores.

It might be "Lion" Cove, but it's more like a fat house cat.
LionCove is a departure from Intel's approach and philosophy from P6 to RedwoodCove. LionCove is a fundamental departure from previous generations of Cove and is now more Zen than ever.

From P6(Pentium PRO) to RedwoodCove, the schedule and execution ports were common to FP and ALU, i.e. FP-ALU. LionCove separates FP from ALU and implements separate/dedicated blocks with execution ports for FP and separate ones for ALU. If no one sees such a fundamental change, I don't know if anyone will ever notice any changes.
 
Last edited:

DavidC1

Golden Member
Dec 29, 2023
1,211
1,931
96
LionCove is a departure from Intel's approach and philosophy from P6 to RedwoodCove. LionCove is a fundamental departure from previous generations of Cove and is now more Zen than ever.
Lion Cove brings absolutely no new ideas, regresses from the predecessor of 3 years ago in some aspects, and is still way bigger than competition, despite having significant process advantage.

Putting a lipstick on a female pig doesn't make it an attractive woman.
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |