Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 528 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
695
601
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E012 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ?12 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)



 

Attachments

  • PantherLake.png
    283.5 KB · Views: 24,004
  • LNL.png
    881.8 KB · Views: 25,487
Last edited:

MoistOintment

Junior Member
Jul 31, 2024
16
31
46
Interesting, I do hope that Intel fixes that issue of the brightness getting lowered on Lunar Lake especially on the IPS models. It’s efficient enough that there is no need to that anymore.

On MacBooks the display only dims on low power mode setting is enabled.
My experience with Windows 11 brightness behavior is that it remembers your brightness setting when plugged and unplugged, and sets the brightness back to where you last left it in that state when you switch between states.

If you have max brightness when plugged, and you unplug, the brightness level will switch to the brightness level you were using when you were last unplugged, whatever that may be.
 
Reactions: rosetta

adroc_thurston

Diamond Member
Jul 2, 2023
3,517
5,084
96

Josh128

Senior member
Oct 14, 2022
333
447
96
MT is not that important compared to ST in thin and lights. Apple has already shown that to the world with its Apple Silicon. Lunar Lake is just following the same path. AMD not so much.

AMD Strix is will remain a disappointment this gen.


Agree.
Whoa, where are all these supposed Lunar Lake ST perf wins over Strix?! Outside of a notable CB R24 win, they are few and far between. It loses or ties in R23 & Geekbench, loses in R20 and R15. Have you seen the battery life in the Strix Vivobook S 14? Its right up there with Lunar Lake, lol. A lot of people are ignorant of the fact that the screen size and type affect battery much more than these new gen CPUs. Almost every single Lunar Lake review Ive seen has compared battery life against a Strix with a 2" larger OLED screen, which make all the difference in the world in battery life. Its a completely apples to oranges comparison. What exactly is disappointing about Strix to you?


Vivobook S 14
 

LightningZ71

Golden Member
Mar 10, 2017
1,791
2,149
136
Wrong.

N7 is a crappy node compared to Intel 7. Intel 7 is far ahead. Plus, it offers better performance than N7.

TSMC N7 and Intel 7 (10esf+) are broadly equivalent in many ways, but, when it came down to implementation, N7 had higher PRACTICAL density. For Intel to achieve the performance that they needed from Alder Lake to Raptor lake refresh, they continually relaxed their logic density. N7 products of equivalent performance had better density in production form. N6, being an N7 fanily node with very little logic density improvement was so much better than Intel’s volume node, Intel 7, that Intel chose to outsource several products to it over using their own in-house node.
 
Reactions: KompuKare

branch_suggestion

Senior member
Aug 4, 2023
391
865
96
TSMC N7 and Intel 7 (10esf+) are broadly equivalent in many ways, but, when it came down to implementation, N7 had higher PRACTICAL density. For Intel to achieve the performance that they needed from Alder Lake to Raptor lake refresh, they continually relaxed their logic density. N7 products of equivalent performance had better density in production form. N6, being an N7 fanily node with very little logic density improvement was so much better than Intel’s volume node, Intel 7, that Intel chose to outsource several products to it over using their own in-house node.
Indeed, Intel clocks higher at the cost of density. And recent TSMC nodes can now achieve similar clocks with less density penalty.
Not to mention 18A was downgraded from what was previously expected, so it is now N3P PPA overall instead of N2.
Not to mention the cost and throughput are worse than equiv TSMC nodes, yields are also worse but serviceable.
 

jdubs03

Senior member
Oct 1, 2013
786
382
136
Indeed, Intel clocks higher at the cost of density. And recent TSMC nodes can now achieve similar clocks with less density penalty.
Not to mention 18A was downgraded from what was previously expected, so it is now N3P PPA overall instead of N2.
Not to mention the cost and throughput are worse than equiv TSMC nodes, yields are also worse but serviceable.
I was listening to Ian’s podcast the other day (it was TechPoutine 6) about 18A and the performance improvements vs. 20A and Intel 3, courtesy of a question @cebri1 asked, and he basically said that he considers what Intel said early on in the year (their foundry day) about their process characteristics. So seemingly wait for additional info about 18A and its performance improvement vis-a vis Intel 3.

That’s not to say that the reduction expectations is not going to be reality. I think there’s a better chance that it will be. But, there’s still a chance that it won’t be.
 
Last edited:

511

Senior member
Jul 12, 2024
386
256
96
LNL has same MT throuhgput and efficency as a 6C/12T Phoenix 2 7540U, i would expect in a 1400€ laptop better MT perf and perf/Watt than laptops sold at 600-650€,
more than 2x the price at same MT perf just because of the GPU.?.
It's single core is way higher like 20-30%
Battery life is better
Gpu is way better

That s the magic of the marketing, actual numbers are not even considered, to the point that a CPU that should be in the sub 1000€ laptop market is accepted as being valuable at 1400€ if not 2000€.
MacBook should not exist by this logic than 🤣
 
Reactions: SiliconFly

Abwx

Lifer
Apr 2, 2011
11,535
4,323
136

poke01

Platinum Member
Mar 8, 2022
2,081
2,612
106
Whoa, where are all these supposed Lunar Lake ST perf wins over Strix?! Outside of a notable CB R24 win, they are few and far between. It loses or ties in R23 & Geekbench, loses in R20 and R15. Have you seen the battery life in the Strix Vivobook S 14? Its right up there with Lunar Lake, lol. A lot of people are ignorant of the fact that the screen size and type affect battery much more than these new gen CPUs. Almost every single Lunar Lake review Ive seen has compared battery life against a Strix with a 2" larger OLED screen, which make all the difference in the world in battery life. Its a completely apples to oranges comparison. What exactly is disappointing about Strix to you?


Vivobook S 14
Wow that Ars review is an eye opener

Intel designed Lunar lake to be only good in thin and light use cases and it shows in this benchmark. Its not made for MT at all, Intel will offer ARL H instead for that.




Intel targeted the ultrabook crowd who want the best x86 battery life and there is a market for that. In video playback and web browsing, Lunar lake will win all battery tests due to its PMIC, Skymont core and overall arch that is effeciency focused. Doing high performance tasks that put the whole cores under load is not what Lunar Lake was designed for.
 
Last edited:
Reactions: podspi

cannedlake240

Member
Jul 4, 2024
59
16
41
I was listening to Ian’s podcast the other day (it was TechPoutine 6) about 18A and the performance improvements vs. 20A and Intel 3, courtesy of a question @cebri1 asked, and he basically said that he considers what Intel said early on in the year (their foundry day) about their process characteristics. So seemingly wait for additional info about 18A and its performance improvement vis-a vis Intel 3.

That’s not to say that the reduction expectations is not going to be reality. I think there’s a better chance that it will be. But, there’s still a chance that it won’t be.
They would've clarified it already by now if it was just a mistake. They bet the company on that node, no way they're allowing typos to influence investor confidence.
 

511

Senior member
Jul 12, 2024
386
256
96
Indeed, Intel clocks higher at the cost of density. And recent TSMC nodes can now achieve similar clocks with less density penalty.
No? Why is Intel 4/3 so close to N3 with their 3-3 HPC Library in PPA
2-2 is relatively less dense but better performant than their 2-2 is and also Nanoflex to widen it due to 2-1 fin
Not to mention 18A was downgraded from what was previously expected, so it is now N3P PPA overall instead of N2.
I am still expecting between N3P and N2.
N3P on a worse scenario N2 in a good one but it is available on same time as N3P N2 is a year late 🙂
Not to mention the cost and throughput are worse than equiv TSMC nodes, yields are also worse but serviceable.
They have corrected the cost with Intel 3 yield are on Par with "10nm+++" Intel 7 you can read Tech insights report
 

511

Senior member
Jul 12, 2024
386
256
96
Wow that Ars review is an eye opener

Intel designed Lunar lake to be only good in thin and light use cases and it shows in this benchmark. Its not made for MT at all, Intel will offer ARL H instead for that.
View attachment 108298

View attachment 108299

Intel targeted the ultrabook crowd who want the best x86 battery life and there is a market for that. In video playback and web browsing, Lunar lake will win all battery tests due to its PMIC, Skymont core and overall arch that is effeciency focused. Doing high performance tasks that put the whole cores under load is not what Lunar Lake was designed for.
You know we have quickSync as well to destroy this task with more and wider codec than any of the mentioned
 

jdubs03

Senior member
Oct 1, 2013
786
382
136
They would've clarified it already by now if it was just a mistake. They bet the company on that node, no way they're allowing typos to influence investor confidence.
Yeah, I mean I did say that it doesn’t seem like a mistake. But he’s in the know so it is a valid opinion. It is a shame that it’ll take 4-5 more months til their next foundry day.
 

H433x0n

Golden Member
Mar 15, 2023
1,177
1,527
96
Whoa, where are all these supposed Lunar Lake ST perf wins over Strix?! Outside of a notable CB R24 win, they are few and far between. It loses or ties in R23 & Geekbench, loses in R20 and R15. Have you seen the battery life in the Strix Vivobook S 14? It’s right up there with Lunar Lake, lol. A lot of people are ignorant of the fact that the screen size and type affect battery much more than these new gen CPUs. Almost every single Lunar Lake review Ive seen has compared battery life against a Strix with a 2" larger OLED screen, which make all the difference in the world in battery life.
Lion Cove and Zen 5 perform within 1-2% of each other, with Lion Cove getting a 1-2% edge in integer performance as well as efficiency going off of David Huang’s data. The 1T performance is going to be completely dependent on the laptop OEM and the chassis it is in. For all intents and purposes, the 1T performance is the same.

Its a completely apples to oranges comparison. What exactly is disappointing about Strix to you?
Agreed, it is apples to oranges. That’s why trying to compare nT performance makes no sense. Despite the defensiveness of multiple posters, nobody is saying Strix is disappointing.
 

cebri1

Senior member
Jun 13, 2019
344
353
136
You conveniently ignore the next paragraph which says it also performs 12% faster putting it at parity ppw.

Again, RWC is going to lose against Turin, but Intel has gone from having a processor that was 40% slower than Genoa X while consuming more power to achieve ppw parity in 24 months. Turin will put them in the lead again but before it was a bloodbath.

Edit: btw this is against Genoa-X, I don’t think we’ll see vcache server parts until next year. Against Genoa, GNR has a 23% performance lead (not ppw).
 
Last edited:

cannedlake240

Member
Jul 4, 2024
59
16
41
You conveniently ignore the next paragraph which says it also performs 12% faster putting it at parity ppw.

Again, RWC is going to lose against Turin, but Intel has gone from having a processor that was 40% slower than Genoa X while consuming more power to achieve ppw parity in 24 months. Turin will put them in the lead again but before it was a bloodbath.
Both process and core just aren't quite there yet to match TSMC + zen. GNR 3.2ghz all core is quite low
 

AcrosTinus

Member
Jun 23, 2024
87
78
51
You conveniently ignore the next paragraph which says it also performs 12% faster putting it at parity ppw.

Again, RWC is going to lose against Turin, but Intel has gone from having a processor that was 40% slower than Genoa X while consuming more power to achieve ppw parity in 24 months. Turin will put them in the lead again but before it was a bloodbath.
You are right, though I won't make any claims about Turin. Intel had the memory subsystem advantage and on the next Epyc it won't change. Furthermore Zen5 is not a generation faster than 8Wide RWC...
And even if Turin turns out to be faster "no pun intended", they don't have the software stack and accelerators that Intel has.
 

cebri1

Senior member
Jun 13, 2019
344
353
136
You are right, though I won't make any claims about Turin. Intel had the memory subsystem advantage and on the next Epyc it won't change. Furthermore Zen5 is not a generation faster than 8Wide RWC...
And even if Turin turns out to be faster "no pun intended", they don't have the software stack and accelerators that Intel has.
I think Turin will have a considerable lead in efficiency. Performance wise I’m not so sure. There is a significant gap to cover.
 

jdubs03

Senior member
Oct 1, 2013
786
382
136
You conveniently ignore the next paragraph which says it also performs 12% faster putting it at parity ppw.

Again, RWC is going to lose against Turin, but Intel has gone from having a processor that was 40% slower than Genoa X while consuming more power to achieve ppw parity in 24 months. Turin will put them in the lead again but before it was a bloodbath.
I think Turin will have a considerable lead in efficiency. Performance wise I’m not so sure. There is a significant gap to cover.

This is why Clearwater Forest and Diamond Rapids are so important. If they can release these on time next year on 18A, plus Panther Lake, they’ll be able to turn the tide in the x86 space and moat themselves in Windows against Qualcomm.
I admit I’m a firm believer that there is light at the end of the tunnel. Now for IPC leadership, that’s unrealistic.
 
Reactions: OneEng2 and Joe NYC

cebri1

Senior member
Jun 13, 2019
344
353
136
This is why Clearwater Forest and Diamond Rapids are so important. If they can release these on time next year on 18A, plus Panther Lake, they’ll be able to turn the tide in the x86 space and moat themselves in Windows against Qualcomm.
I admit I’m a firm believer that there is light at the end of the tunnel. Now for IPC leadership, that’s unrealistic.
diamond rapids is 2026. It will compete against Zen6. CWF I agree it could shake the current landscape but we’ll see.
 
Reactions: OneEng2
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |