Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 685 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
702
632
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E012 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop & Mobile H&HXMobile U OnlyMobile H
Process NodeIntel 4TSMC N3BTSMC N3BIntel 18A
DateQ4 2023Desktop-Q4-2024
H&HX-Q1-2025
Q4 2024Q1 2026 ?
Full Die6P + 8P8P + 16E4P + 4E4P + 8E
LLC24 MB36 MB ?12 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)



 

Attachments

  • PantherLake.png
    283.5 KB · Views: 24,014
  • LNL.png
    881.8 KB · Views: 25,501
Last edited:

ajsdkflsdjfio

Junior Member
Nov 20, 2024
9
12
36
I might be wrong, but this doesn't sound right at all.
We know part of it is Intel's P core being bad, but if you look at Granite Rapids vs Turin, GNR loses badly in all metrics and has a much lower base frequency. Not all of the difference is architectural imho. At "not 5GHz+" frequencies Intel 3 seems to be inferior to TSMC N4 in many metrics.
Yea isn't it the case that in power constrained scenarios, intel's poorly optimized core design may perform worse regardless of node? You see this same thing in Lion cove where "magically" N3B seems to be inferior to TSMC N4 in many metrics. Ofc arrow lake performance problems are from a myriad of differing issues not just lion cove, but lion cove is still obviously part of the issue of performance stagnation. If lion cove on n3 fails to perform vs zen 5 on n4, why would redwood cove perform any better vs n4 in a server application where power efficiency is paramount when redwood cove is basically a node-shrink of golden-cove from intel 12th generation?
 
Last edited:
Reactions: DavidC1

511

Golden Member
Jul 12, 2024
1,038
897
106
Yes, best performance for like 6 months and then their CPUs would've started degrading...
You know that debacle was a design issue not a process issue the oxidation was mishandling of batches at a fab well TSMC node can't even clock high as intel nodes they would die at way less voltage than Intel's 🤪
 

511

Golden Member
Jul 12, 2024
1,038
897
106
I might be wrong, but this doesn't sound right at all.
We know part of it is Intel's P core being bad, but if you look at Granite Rapids vs Turin, GNR loses badly in all metrics and has a much lower base frequency. Not all of the difference is architectural imho. At "not 5GHz+" frequencies Intel 3 seems to be inferior to TSMC N4 in many metrics.
We have Sierra Forest on Intel 3 process that can do 144 Cores 2.7-3Ghz all core at 250W!
 
Jul 27, 2020
20,920
14,493
146
You know that debacle was a design issue not a process issue the oxidation was mishandling of batches at a fab well TSMC node can't even clock high as intel nodes they would die at way less voltage than Intel's 🤪
If it simply were an issue with a small number of batches, Intel could've simply recalled the affected CPUs. They went through multiple (more than 3) microcode updates trying to fix whatever issue there was. If I had a grandma who hid her cash under her mattress, I would steal some and buy a 14900KS to test for science and see how well it hits 6.2 GHz with constant workloads and everyday usage for six months. But the general consensus now is not to trust Raptor Bake and Raptor Re-bake
 

coercitiv

Diamond Member
Jan 24, 2014
6,761
14,686
136
You know that debacle was a design issue not a process issue the oxidation was mishandling of batches at a fab
And you think that makes it better?! Mishandling of batches is hardly reassuring for a potential customer.

Zen 5 on Intel nodes would have been great on paper, then would have gotten delayed like all the Intel products on Intel nodes have been for the last couple of years. More performance but zero market relevance.
 
Jul 27, 2020
20,920
14,493
146
Zen 5 on Intel nodes would have been great on paper, then would have gotten delayed like all the Intel products on Intel nodes have been for the last couple of years. More performance but zero market relevance.
AMD engineers probably don't want to work so closely with Intel Foundry personnel anyway coz leaking of their trade secrets to Intel Design Centers would come into the picture sooner or later. Intel Foundry needs to become US National Foundry before AMD will risk doing business with them.
 
Reactions: 511

511

Golden Member
Jul 12, 2024
1,038
897
106
Zen 5 on Intel nodes would have been great on paper, then would have gotten delayed like all the Intel products on Intel nodes have been for the last couple of years. More performance but zero market relevance.
I am just talking about theoretical performance.
Delays and intel had been amazing combo for the last few years I can't deny that 🤣
 

SiliconFly

Golden Member
Mar 10, 2023
1,654
997
96
Well, I really hope I'm silly because if I'm being silly then we should see Bartlett Lake hitting 6.2 GHz again next year. In which case, I will happily admit to being silly
You should hope so & you can always admit anytime. I'm assuming this so called Bartlett lake is a cpu based on the already outdated RPL on Intel 7, right? If so, then it is going to inherit all the issues related to RPL. And frankly, why on earth would anyone root for such an outdated product like Bartlett Lake which imho shouldn't even exist? An Intel 7 based cpu in 2025? Yikes!
 
Reactions: OneEng2

511

Golden Member
Jul 12, 2024
1,038
897
106
You should hope so & you can always admit anytime. I'm assuming this so called Bartlett lake is a cpu based on the already outdated RPL on Intel 7, right? If so, then it is going to inherit all the issues related to RPL. And frankly, why on earth would anyone root for such an outdated product like Bartlett Lake which imho shouldn't even exist? An Intel 7 based cpu in 2025? Yikes!
It's a 12 core monolithic CPU
 
Reactions: igor_kavinski

RTX

Member
Nov 5, 2020
117
72
101
Now of course through publicly available TSMC and Intel density figures you would assume this difference would be much larger, but I believe that the on-paper difference between the density of Intel 4/3 nodes and TSMC N3E are largely due to TSMC offering an actually high density library using a 2-1 fin arrangement which is around 32% more dense than their HP high performance library, while Intel 3's "HD" library is only 10% denser than their HP library leading me to believe it isn't prioritizing density as much as TSMC's 2-1 fin=flex offering. Intel markets using their high density(not really) library densities, while TSMC markets their 2-1 fin or even 1-1 true high-density libraries as the face of N3 achieved densities. Either way in reality it is shown that at-least in client computing chip design, TSMC's N3 designs are not all that much denser than intel 4/3 designs especially if you are comparing N3E or N3P versus intel's nodes with only a 10% or less gap (at least in cache density) between intel 4 and N3E/N3P.
Chip density for intel 3 is up to 1.08x vs intel 4 and logic density is up by ~15%. Their 2-fin should've been -2xfin pitch ( 180nm ) instead of -1xfin pitch ( 210nm ). The additional 10% ppw from intel 3-PT should be enough to allow them to do 180nm 2-fin and still gain ppw vs intel 3 210nm.
 
Reactions: SiliconFly

DrMrLordX

Lifer
Apr 27, 2000
22,184
11,890
136
they'll probably relax the density metrics on Intel 7 one more time, just like they did from Alder Lake to Raptor Lake, then Raptor Lake to Raptor Lake Refresh...
More likely they identify the structure that was burning out due to the old voltage spike behavior and change it (if possible) to handle higher voltages so they can go back to the original TVB.
 

OneEng2

Senior member
Sep 19, 2022
259
359
106
Yeah they did.

No they didn't.

No they didn't.

Best xtor performance.

N3e did not exist when the decision was made.
Ok, so let me get this straight ......

Intel decided INTENTIONALLY to abandon their own fabs, pay MORE to have their core processor tile produced at TSMC, and ALSO decided to spend billions of dollars to continue making their own 20A, 18A and 16A processes as well ...... all culminating into the biggest losses in the companies history?

... and they did this by design?

Please explain this logic to me.
 

moinmoin

Diamond Member
Jun 1, 2017
5,145
8,226
136
Intel decided INTENTIONALLY to abandon their own fabs, pay MORE to have their core processor tile produced at TSMC,
Swan's decision.

and ALSO decided to spend billions of dollars to continue making their own 20A, 18A and 16A processes as well
Pat's decision.

all culminating into the biggest losses in the companies history?

... and they did this by design?
Contracts were already signed. Both Swan and Pat were and are in the position of having to try the best given a bad hand while trying to reach completely different destinations.
 

DavidC1

Golden Member
Dec 29, 2023
1,211
1,933
96
I'd say density wise intel 3 is already comparable to N3.

Redwood cove on intel 4: 5.33 mm^2 (5.33 on semianalysis 5.05 according to reddit post i used for lunar lake) Lion cove from lunar lake on N3B: 4.53
Lion cove has 2.5 mb of l2 cache per core while redwood cove has 2mb. which is a 25 percent increase of 1.25x. Multiply the cache area for Redwood cove by 1.25x to get the theoretical area for 2.5mb l2 cache on intel 4: 1.215 mm^2.
That's cause your analysis is flawed. SRAM scaling is reaching a hard limit, similar to DRAM running into a limit like ten years ago. Also, Lion Cove expanded significantly, hence why it's 4.5mm2. If it was a straight shrink it's probably in the 3.xmm2 range.

Logic scaling shows TSMC has a substantial advantage.
I might be wrong, but this doesn't sound right at all.
We know part of it is Intel's P core being bad, but if you look at Granite Rapids vs Turin, GNR loses badly in all metrics and has a much lower base frequency. Not all of the difference is architectural imho. At "not 5GHz+" frequencies Intel 3 seems to be inferior to TSMC N4 in many metrics.
The P cores are terrible. It requires more power and die area for less performance than the competition.

What you are saying is basically Intel's 65nm process sucked because of Presler. Yet we also had Conroe/Merom, a far superior chip.

Some is likely due to process but mostly it's architecture and implementation. Implementation, in that they're still learning to get the disaggregated thing right, and GNR itself had troubled development, based on how the scaling sucks with just 2P.
 
Last edited:

DavidC1

Golden Member
Dec 29, 2023
1,211
1,933
96
There is a documentary about Centaur called Rise of the Centaur which was somewhat informative and entertaining. But since then Henry retired and the team was sold to Intel and the IP licensed to Zhaoxin.

I guess they were in the same city as the Intel 'mont team at the time too?
It seems Stephen Robinson, the leader of the E core team came little before the purchase. I'm pretty sure it's not a coincidence.

The Centaur team was said to achieve what they did with fraction of the human and financial resources of a typical MPU team. Certainly they can do good when they need to.
In 1995, Centaur Technology set out to prove that a small team of microprocessor engineers could design an affordable x86 processor for the neglected sub-$1000 PC market. At the time, it was a visionary idea. Now, fourteen years later, Centaur has successfully designed the world's smallest x86 processors, has shipped thirteen different parts, and consistently provides the fastest design cycle in the industry-from concept to completion in about 9 months, 1/3 the time of our competitors. Centaur’s relationship with parent company VIA Technologies, the #2 chipset maker in the world after Intel, has positioned us to be the dominant force in bringing PC power to developing nations. New challenges are just ahead for Centaur Technology’s visionary solutions.
 
Last edited:

ajsdkflsdjfio

Junior Member
Nov 20, 2024
9
12
36
That's cause your analysis is flawed. SRAM scaling is reaching a hard limit, similar to DRAM running into a limit like ten years ago. Also, Lion Cove expanded significantly, hence why it's 4.5mm2. If it was a straight shrink it's probably in the 3.xmm2 range.

Logic scaling shows TSMC has a substantial advantage.
Yea never mind you are right about the SRAM scaling, I looked it up and N3B and N5 and intel4/3 have similar SRAM cell sizes. Still I think intel 4/3 is more impressive than at first glance even though it hasn't really been proved in any real application yet since meteor lake was basically a test platform for all their new technologies. Hopefully panther lake turns out well, it'll be perfect for comparisons between N3 lioncove/skymont vs 18A cougarcove/darkmont. It'll also be the first time that a (hopefully) decent product is released on an intel node other than intel 7.
 

DavidC1

Golden Member
Dec 29, 2023
1,211
1,933
96
Yea never mind you are right about the SRAM scaling, I looked it up and N3B and N5 and intel4/3 have similar SRAM cell sizes.
If you compare the smallest sizes, N3B has a 20% advantage over Intel 4. Which is roughly same as the numbers you calculated.
Still I think intel 4/3 is more impressive than at first glance even though it hasn't really been proved in any real application yet since meteor lake was basically a test platform for all their new technologies. Hopefully panther lake turns out well, it'll be perfect for comparisons between N3 lioncove/skymont vs 18A cougarcove/darkmont. It'll also be the first time that a (hopefully) decent product is released on an intel node other than intel 7.
I'm not sure why anyone is surprised at Intel processes being a performance leader and density laggard. It has always been the case. It has to do with the mentality and vision of that team.

That's why even Intel themselves admit 18A isn't a perfect fit for mobile. I think perf wise even Intel 3 will be very close to N2, but density wise it'll only take maybe N3 to match 18A.
 
Reactions: ajsdkflsdjfio

OneEng2

Senior member
Sep 19, 2022
259
359
106
Swan's decision.


Pat's decision.


Contracts were already signed. Both Swan and Pat were and are in the position of having to try the best given a bad hand while trying to reach completely different destinations.
So you are saying that Arrow Lake, Lunar Lake were both originally targeting using TSMC? That is not at all what I had heard. Do you have a link? Every resource I can find states that Intel originally targeted 20A for these processors and had to go to TSMC OR wait until 18A was ready. The rest is history.
If you compare the smallest sizes, N3B has a 20% advantage over Intel 4. Which is roughly same as the numbers you calculated.

I'm not sure why anyone is surprised at Intel processes being a performance leader and density laggard. It has always been the case. It has to do with the mentality and vision of that team.

That's why even Intel themselves admit 18A isn't a perfect fit for mobile. I think perf wise even Intel 3 will be very close to N2, but density wise it'll only take maybe N3 to match 18A.
If you are correct, that isn't a great strategy IMO. In a server environment, the limiting factor doesn't end up being die size since you can conceivably put as many tiles/CCD's on an SOC as you want and cost is largely not relevant.... but socket power is. Thermal issues and power issues would limit such a design.

In desktop, this is also a losing strategy for a different reason. Die size (cost) is suddenly VERY important. With die size pricing increasing super-linearly (exponentially?) a strategy that doesn't compete in density is a loser IMO.

I do tend to agree with you though that Intel has been targeting max performance from every point of design. At some points in history, they did this to such an extreme that it was comical. I recall joking about what a great space heater P4 was .
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |