Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 691 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
702
632
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E012 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop & Mobile H&HXMobile U OnlyMobile H
Process NodeIntel 4TSMC N3BTSMC N3BIntel 18A
DateQ4 2023Desktop-Q4-2024
H&HX-Q1-2025
Q4 2024Q1 2026 ?
Full Die6P + 8P8P + 16E4P + 4E4P + 8E
LLC24 MB36 MB ?12 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)



 

Attachments

  • PantherLake.png
    283.5 KB · Views: 24,014
  • LNL.png
    881.8 KB · Views: 25,501
Last edited:

cannedlake240

Senior member
Jul 4, 2024
207
111
76
When did Pat say it'll be close in density to N2?

By the way IEDM presentations already revealed SRAM size. 18A is 0.021um2 and N2 is 0.0175um2. 18A's SRAM size is same as N3E. Now logic might be different, but my estimations with Crestmont says 18A should be around N3 in density.
18A should lead in performance but lose in density.
Also 18A's logic density at around 1.5X of Intel 3 could reach N3 level without the use of Finflex(or Nanoflex) alternatives

Still, some are adamant that 18A will be inferior to anything TSMC in perf and power (in part due to the recent 10% downgrade), so there's more to this story. Maybe 18A-P will cover some of that lost ground
 

OneEng2

Senior member
Sep 19, 2022
259
356
106
If 18a is that good, intel will get decent foundry orders. Then next nodes would be less harsh.
The real question would be if 18a can compete with n3 with quality+cost.
The REAL question in my book is if Intel can make any money before they go bankrupt and/or are purchased by someone that stops the beading for them. Everyone keeps thinking 18A being as good as N3 or even N2 will do the trick, but I think the financial situation is not that rosy even IF 18A goes well.
I'm seeing that N2 is no longer expected to have backside power, is 18A still getting backside?
I don't think N2 was ever going to have BSPD. Seems like TSMC is much more conservative than Intel in its node progression. I suspect this is due both to cash flow and experience. I think that it is quite risky to go to a smaller node, add BSPD, and add GAA all in one process step.

Also, as pointed out, Intel has spent so much time focusing on HPC in their processes that their densities have suffered (and arguably their performance per area as well). Additionally, Intel's relative lack of industry standard tools for on-boarding customers and doing external designs is no small thing.

I am pulling for the boys in blue. I really am, but I am concerned that they may be headed for a government bail-out.
 
Reactions: moinmoin and Gideon

cannedlake240

Senior member
Jul 4, 2024
207
111
76
Everyone keeps thinking 18A being as good as N3 or even N2 will do the trick, but I think the financial situation is not that rosy even IF 18A goes well.
Why wouldn't financials improve if 18A goes well? What else is Intel losing money on? Graphics and especially dgpu is gone, server while not very profitable isn't losing money either. Plus the products are improving from EMR to GNR to Diamond and Clearwater, which will be reflected in profits. Majority of client will be made on IFS, also resulting in better margins. They already said 18A wafers are projected to have much higher ASPs than Intel 7 does while not costing much more to produce.
I only saw the slide, it said nothing about logic nor chip density. Unless you listened to the presentation and the presenter said "chip density".
It literally says 1.3X "Chip Density" vs Intel 3 on the slide from Q2 earnings, the same slide that suggests perf/W was downgraded from being 10% abover 20A to just "15% vs Intel 3"
 

DavidC1

Golden Member
Dec 29, 2023
1,211
1,932
96
It literally says 1.3X "Chip Density" vs Intel 3 on the slide from Q2 earnings, the same slide that suggests perf/W was downgraded from being 10% abover 20A to just "15% vs Intel 3"
Hmm, I thought that was a general term, but if that is indeed them being specific, good for them.

They did say 18A will be ~ with competition in density, and it's looking like it'll go against N2. If that's true, then 14A will lead in both performance and density over A16.
They already said 18A wafers are projected to have much higher ASPs than Intel 7 does while not costing much more to produce.
ASPs go higher when the products are more competitive. Granite and Sierra will have higher ASPs over Sapphire and Emerald for the same reason, they are much closer. Sapp/Emerald could only aim mid range at best, Granite and Sierra can aim at 70-80%.
 
Reactions: SiliconFly

cannedlake240

Senior member
Jul 4, 2024
207
111
76
then 14A will lead in both performance and density over A16.
But it'll be 3q to a year later based on the timeline shared(2H 2027 product launch). So it'll probably have to compete against TSMC A14. If A14 slips to 2028, P1280(Intel) should be the clear winner or at least on par until then. Competition is fierce and Intel just can't catch a break...
 

maddie

Diamond Member
Jul 18, 2010
4,932
5,075
136
Why wouldn't financials improve if 18A goes well? What else is Intel losing money on? Graphics and especially dgpu is gone, server while not very profitable isn't losing money either. Plus the products are improving from EMR to GNR to Diamond and Clearwater, which will be reflected in profits. Majority of client will be made on IFS, also resulting in better margins. They already said 18A wafers are projected to have much higher ASPs than Intel 7 does while not costing much more to produce
Did Intel really claim that 18A is slightly more costly than Intel 7. Seems very hard to believe.
 

511

Golden Member
Jul 12, 2024
1,034
894
106
If 18a is that good, intel will get decent foundry orders. Then next nodes would be less harsh.
The real question would be if 18a can compete with n3 with quality+cost.
Cost can be understood but why quality it's not like Intel is fabbing first time they have been been fabbing before TSMC I don't see the issue on quality but more on Yield/PDK and cost
 

oak8292

Member
Sep 14, 2016
112
116
116
If Trump puts tariffs why not 🤣
65% of TSMC’s revenue is from North American and more than 50% of what is sold into North American Companies is sold to foreign buyers. Apple sells only about 40% in the Americas. Will Americans pay more for iPhones than Europeans and will Apple, Nvidia and AMD repatriate all their production to compete against foreign competitors with lower costs. What gets tariffed, U.S. destined finished goods or chips being transhipped from TSMC to Vietnam or India?

TSMCs foundry revenue is about four times Intel’s based on what they said when they broke out foundry financials. 65% of 4x means that there will be a huge shortfall in capacity.

P.S. The U.S. population is less than 5% of global population of 8 billion. Almost every man woman and child on the globe has a cell phone. There are currently over a billion iPhone users which means there are way more outside the U.S. than in the U.S.
 

oak8292

Member
Sep 14, 2016
112
116
116
Did Intel really claim that 18A is slightly more costly than Intel 7. Seems very hard to believe.
‘Slightly more costly’ sounds like wishful thinking from a purely Intel perpective. Every node reduction is more expensive per wafer with more processing steps. The cost per transistor should go down with the improvement in transistor density being higher than the increase in cost.

The transition from DUV to EUV processes was quite expensive but it did reduce the number of steps and time in process. The Intel 7 nm process was purely DUV. The 18A process is at least the second generation of Intel’s EUV processes. (Ignoring the half nodes 4 nm and 20A). This process is without a doubt more expensive than TSMCs N7 DUV process. It might be only ‘slightly’ more expensive that the intel 7 nm process which was about 30% more expensive than the equivalent foundry process based on what they reported when they broke out foundry costs.
 
Reactions: maddie and 511

Gideon

Golden Member
Nov 27, 2007
1,842
4,379
136
Why wouldn't financials improve if 18A goes well?

Because even when 18A is a success and fully booked Intels foundry will still be a colossal money sink for years:


No external foundry has ever paid the bills with leading edge nodes (even when the perf uplift was bigger and development cheaper).

Once 18A is what TSMCs 5nm is now (in volume!) and there is a stack of competitive nodes above it, things will finally change

From the above article:
Intel says they needs $25B to $30B of capex per 10,000 wafers per week for their new fabs. TSMC has stated they requires about $42B of capex per 10,000 wafers for their 3nm in Arizona even after the “cost overruns”. We are unsure what the delta is for Intel versus TSMC on these numbers as the TSMC figures include site prep, shell, and tooling. Regardless, taking Intel’s numbers at face value, this is a huge wall given Intel always needs 150,000+ wafers per month of capacity on the leading edge to remain competitive in volumes with TSMC.

They do not have this today, but they need it or the business is unsustainable and dead. Today they cannot afford to build these fabs with their own cashflow.

And where actual TSMCs profits are:
For TSMC’s nodes where most of the capacity is more than 5 years old, the big depreciation cost item won’t apply, making them very profitable in terms of gross margin. Furthermore, these nodes require little to no cash investments, which then fund expensive leading edge capacity expansions. The leading-edge capacity has lower margins and negative cashflow until multiple years after ramp.

What's it gonna cost:
While Intel has ~$120B of capital to tap, to get to our 150,000+ wafers a month sustainable target, they may need more than that. We are not sure where they can get this cash from. The process technology we believe is there. We aren’t sure who is willing to front the rest of these costs, as further financing would likely be a poison pill.

A succesful 18A would be an awesome thing for us tech-enthusiasts, as we'd see some awesome products, but it will still be a huge money sink until Intel ramps up the volume (which it can't do with its own cashflow nor 18A profits). All the while ccontinuing with 14A, etc.

TL;DR

18A is essential, but speaking of it in the context of improved near term financials is nonsense.
 

511

Golden Member
Jul 12, 2024
1,034
894
106
Foundry is dirty you can't regain the cost you put into into until after few years it is in volume production .
TSMCs lagging edge node also helps with the cost it is basically cheap Money without any investment outside of maintenance and labour Intel product helps with the foundry you need volume product to afford volume foundry
same reason we get so many Intel 7 process till now
 

DavidC1

Golden Member
Dec 29, 2023
1,211
1,932
96
But it'll be 3q to a year later based on the timeline shared(2H 2027 product launch). So it'll probably have to compete against TSMC A14. If A14 slips to 2028, P1280(Intel) should be the clear winner or at least on par until then. Competition is fierce and Intel just can't catch a break...
No, it's N2, then N2P then A16. For Intel it's 18A, 18A-P and then 14A.
18A is essential, but speaking of it in the context of improved near term financials is nonsense.
It'll be better than now, and while Foundry itself might still be negative, Intel as a whole company will be better with better products.
Did Intel really claim that 18A is slightly more costly than Intel 7. Seems very hard to believe.
@oak8292 The claim is that it's compared to Intel 7, not TSMC N7. Since Intel 7 is a Intel 10nm derivative with quadruple or even 5, 6 exposure steps, it makes sense.
 
Last edited:
Reactions: 511

511

Golden Member
Jul 12, 2024
1,034
894
106
I think people are forgetting most critical things controlling it's supply chain away from everyone else the main thing that allowed Intel domination in the first place I don't want to be stuck on 6+8 now 😂😂
 
Last edited:

SiliconFly

Golden Member
Mar 10, 2023
1,651
996
96
Yes and I think that means 18A is faster but lower density compared to N2.
Actually, I meant the same too.

I'm seeing that N2 is no longer expected to have backside power, is 18A still getting backside?
N2 never had BSPDN.

But it'll be 3q to a year later based on the timeline shared(2H 2027 product launch). So it'll probably have to compete against TSMC A14. If A14 slips to 2028, P1280(Intel) should be the clear winner or at least on par until then. Competition is fierce and Intel just can't catch a break...
Intel 14A goes against TSMC A16 in 2027.

Because even when 18A is a success and fully booked Intels foundry will still be a colossal money sink for years:

No external foundry has ever paid the bills with leading edge nodes (even when the perf uplift was bigger and development cheaper).
Nope. It definitely helps them. They save many billions of dollars that they have to give to TSMC every year.

No, it's N2, then N2P then A16. For Intel it's 18A, 18A-P and then 14A.
True.
 

DavidC1

Golden Member
Dec 29, 2023
1,211
1,932
96
Because even when 18A is a success and fully booked Intels foundry will still be a colossal money sink for years:
That might be true, but it can't be worse than now with virtually zero third party orders. Actually, Intel's own products being more competitive itself will help Foundry revenue. Because if what Pat is saying is true and they are virtually separating the two, then right now Intel doesn't have to pay Foundry much because the process is behind significantly.

Once 18A is available, they can start charging more.
 
Reactions: coercitiv

cannedlake240

Senior member
Jul 4, 2024
207
111
76
Once 18A is what TSMCs 5nm is now (in volume!) and there is a stack of competitive nodes above it, things will finally change
Fair. They'll have to beg for a second round of Chips act, make more funding deals with private equity types. DCAI has to finally become profitable again and maybe a surprise Falcon Shores win could bring in a few $B. They're selling off Altera too
 
Reactions: 511
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |