Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 753 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
718
670
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E012 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop & Mobile H&HXMobile U OnlyMobile H
Process NodeIntel 4TSMC N3BTSMC N3BIntel 18A
DateQ4 2023Desktop-Q4-2024
H&HX-Q1-2025
Q4 2024Q1 2026 ?
Full Die6P + 8P8P + 16E4P + 4E4P + 8E
LLC24 MB36 MB ?12 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)



 

Attachments

  • PantherLake.png
    283.5 KB · Views: 24,020
  • LNL.png
    881.8 KB · Views: 25,510
Last edited:

ondma

Diamond Member
Mar 18, 2018
3,226
1,642
136
I am waiting for Igor to leak NVL Performance Projection like they did with arrow lake.

Since the core count is 16P+32E I bet the 32E alone would have greater Multi than ARL-S and 2X over ARL is possible 100K R23?

I hope they don't use DLVR on Desktop there is no need for it on Desktop.

Any guesstimate for ST Performance?
I was at first really excited about this rumor. However, I wonder how they will be able to control temperatures and power consumption. ARL cut power somewhat, but they still approach 250 or 300 watts maxed out with 8p 16e. If there is a 16P Nova Lake, it might not be that great for gaming--- not sure what kind of 1T performance they can get out of that.
 
Jul 27, 2020
23,516
16,526
146
If there is a 16P Nova Lake, it might not be that great for gaming--- not sure what kind of 1T performance they can get out of that.
I think their chances are great if they put a low latency (<50 ns) 128MB cache in the SoC tile for 16P Nova Lake. For gaming workloads, the CPU shouldn't consume more than 125W overall. If they can manage at least 15% IPC increase over Lion Cove with guaranteed 5.5 GHz clocks, they may manage to be competitive with Zen 6.
 

511

Golden Member
Jul 12, 2024
1,740
1,602
106
I think their chances are great if they put a low latency (<50 ns) 128MB cache in the SoC tile for 16P Nova Lake. For gaming workloads, the CPU shouldn't consume more than 125W overall. If they can manage at least 15% IPC increase over Lion Cove with guaranteed 5.5 GHz clocks, they may manage to be competitive with Zen 6.
The IPC Estimate should be 20% or more considering we are getting both a Tick (Cougar) and Tock(Panther Cove) and fixing lost IPC From ARL
 

Thunder 57

Diamond Member
Aug 19, 2007
3,418
5,655
136
The IPC Estimate should be 20% or more considering we are getting both a Tick (Cougar) and Tock(Panther Cove) and fixing lost IPC From ARL

I think the days of 20% IPC increases are over inluess it is being compared to a previous architecture that is broken in some way..
 

Thunder 57

Diamond Member
Aug 19, 2007
3,418
5,655
136
Panther Lake. It's just not coming to desktop.

Fair enough.

And SkMT saw a greater than 20% IPC increase...

I suggest you read the C&C articles on Skymont and you will realize why it was so successful. It might also make you rethink your position that another huge IPC increase can be done as readily. I'm all for it if they can but I'm not expecting it.
 

OneEng2

Senior member
Sep 19, 2022
462
695
106
I think their chances are great if they put a low latency (<50 ns) 128MB cache in the SoC tile for 16P Nova Lake. For gaming workloads, the CPU shouldn't consume more than 125W overall. If they can manage at least 15% IPC increase over Lion Cove with guaranteed 5.5 GHz clocks, they may manage to be competitive with Zen 6.
Even if so, it will still be behind current X3D and most certainly behind Zen 6 X3D. I think Intel needs an answer to X3D technology that drastically lowers memory latency. The current ARL design already has huge issues in latency. I think they will be doing good just to free up the current design, rather on starting with expectations of Zen 5 and expecting latency improvements so drastic in Nova Lake that it surpasses X3D.

Keep in mind, moving from N3B to 18A is not going to give Intel much additional transistor budget to work with (unlike the move to N3B did).
The IPC Estimate should be 20% or more considering we are getting both a Tick (Cougar) and Tock(Panther Cove) and fixing lost IPC From ARL
... and this is how people got so disappointed by ARL. You take all the little leaks, add up the IPC improvements you see in each change, then sum them all up to get a really big number ..... and then are very disappointed when the product comes out as all these theoretical improvements don't translate into real world performance.

As I stated above, the additional transistor budget expected by 18A over N3B shouldn't be expected to gain much IPC as cache, logic buffers, TLA, front end, execution units, etc, etc, wont likely be afforded much growth.

I expect some good improvements in some applications if Intel can just get their latency under control. Others (that are already doing well on ARL) wont gain much at all.
I think the days of 20% IPC increases are over inluess it is being compared to a previous architecture that is broken in some way..
I agree, because the days of getting 50% higher transistor budget are ALSO over .
 

OneEng2

Senior member
Sep 19, 2022
462
695
106
If Intel spent 20Bn on GAA with BSPDN, how much do you think it will cost to do CFET with buried power via? It is my understanding that the CFET process will add as many process steps over GAA as GAA did beyond FinFET. Another 20Bn?

The alure of 2x density is a powerful draw though. From what I can read now, it looks like 2030+ for this technology.
 

511

Golden Member
Jul 12, 2024
1,740
1,602
106
If Intel spent 20Bn on GAA with BSPDN, how much do you think it will cost to do CFET with buried power via? It is my understanding that the CFET process will add as many process steps over GAA as GAA did beyond FinFET. Another 20Bn?

The alure of 2x density is a powerful draw though. From what I can read now, it looks like 2030+ for this technology.
It is 2032 from Imec and 20 Billion is the cost of a single fab the R&D is less the main cost are the Fab and first time ramp cost and stuff

 
Reactions: OneEng2

Raqia

Member
Nov 19, 2008
92
58
91
Nova Lake won't have a mont anymore. It will be an Arctic Wolf. The codename change may indicate an entirely different beast.
So of the 3-tiered cores, we have Coyote Cove for P-Cores, Arctic Wolf for E-Cores, and there are also the LP-Cores... Are these rumored to also be Arctic wolf derived and sit separately on the SoC tile as with Lunar and Arrow Lake?
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |