Question 5 Nodes in 4 years discussion

Page 2 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

H433x0n

Golden Member
Mar 15, 2023
1,166
1,510
96
The question isn't whther they will regain leadership. That seems very possible. The question is will they achieve 5 modes in 4 years. On that one, I am far less optimistic.
5 nodes in 4 years is marketing speak. In reality it’s 2 nodes in 4 years with Intel 4/3 and Intel 20A/18A being the 2 separate nodes.

Intel 4 is risk production for Intel 3 where the full process gets finished with all of the associated libraries. The same holds true for 20A / 18A.
 

Thunder 57

Platinum Member
Aug 19, 2007
2,954
4,481
136
Intel didn't say 18A is the process fully adopting high-NA.
They said that "We are world first receiver of high-NA".

To begin with, commercial high-NA EUV model should be shipped late 2024.
Clearly, 18A is high-NA test bed, not high-NA process.

The original roadmap had 18A in 2025 with high-NA. I could swear I saw a new one like that 18A now in 2024 but without high-NA. I can't seem to find it ATM.

I agree with @H433x0n about it really being two nodes. It's basically all marketing speak. You can bet even if there are no real products on 18A in the five year time frame they will "announce" a shipment like they had to do at the very end of 10nm at the end of 2017.
 

H433x0n

Golden Member
Mar 15, 2023
1,166
1,510
96
The original roadmap had 18A in 2025 with high-NA. I could swear I saw a new one like that 18A now in 2024 but without high-NA. I can't seem to find it ATM.

I agree with @H433x0n about it really being two nodes. It's basically all marketing speak. You can bet even if there are no real products on 18A in the five year time frame they will "announce" a shipment like they had to do at the very end of 10nm at the end of 2017.
I don’t think high NA is a real roadblock to 18A. All the work they’ve done to date is without it.
 

NTMBK

Lifer
Nov 14, 2011
10,301
5,302
136
Oh I'm sure that Intel will manage it, the same way they "launched" 10nm in 2017, just like they said they would.


Anyone interested in leading edge semiconductors knows that Intel is late with its newest manufacturing process. The '10nm' node was first announced in 2014, to be released in 2016. While officially 'shipping for revenue' by 31 December 2017, the only way we knew to get hold of an Intel 10nm x86 CPU was if you happened to be a Chinese school and work with a specific distributor to buy a specific laptop.We pulled in a few favors from within the industry and managed to source the laptop for review.
They launched a half-disabled chip with no functioning GPU, in a tiny, hard to access market, so that they could say they hadn't lied to investors.
 

lightisgood

Senior member
May 27, 2022
211
97
71
Oh I'm sure that Intel will manage it, the same way they "launched" 10nm in 2017, just like they said they would.



They launched a half-disabled chip with no functioning GPU, in a tiny, hard to access market, so that they could say they hadn't lied to investors.


Honesty speaking, you have to update your vision.

And when I asked Grimm about the biggest difference between when the 10nm launch failed and now, he said, "Pat [Gelsinger] is back, and since Pat came back and the five-node strategy was decided over four years, there has been a lot of development money and talent allocation. And by reskilling the people who had been there for a long time, we were able to allocate them more efficiently." Grimm's words suggest that before Gelsinger returned to Intel, Intel was in a situation where the right budget and resources weren't allocated to that kind of R&D. So, the 10nm launch failed, and it was overtaken by competing manufacturers such as TSMC and Samsung in terms of technology, something that was unthinkable for Intel in the past. However, it can be said that such bold budget and resource allocations were only possible because CEO Gelsinger was able to do so.
 
Last edited:

FlameTail

Diamond Member
Dec 15, 2021
3,763
2,208
106
You guys speak of Intel gaining the process leadership from TSMC. Does Samsung not have a chance of gaining the process leadership?
 

cebri1

Senior member
Jun 13, 2019
261
256
136
We will know soon enough. It's not 5 nodes in 4 years, now it's 3 nodes in 14 months: December Intel 4 (MTL), Summer 2024 Intel 3 (SF), Late 2024 Intel 20A (ARL).
 
Last edited:

coercitiv

Diamond Member
Jan 24, 2014
6,598
13,937
136
We will know soon enough. It's not 5 nodes in 4 years, now it's 3 nodes in 14 months: December Intel 4 (MTL), Summer 2024 Intel 3 (SF), Late 2024 Intel 20A (ARL).
If all we want is to be pedantic, we could argue it's actually 1 node in less than 6 months, from Intel 3 to Intel 20A.

It's still 5 nodes / 4 years. The finish line is Clearwater Forrest on 18A, to be delivered in 2025. The timing and the quality of this delivery will matter the most.
 
Reactions: Tlh97 and moinmoin

cebri1

Senior member
Jun 13, 2019
261
256
136
If all we want is to be pedantic, we could argue it's actually 1 node in less than 6 months, from Intel 3 to Intel 20A.

It's still 5 nodes / 4 years. The finish line is Clearwater Forrest on 18A, to be delivered in 2025. The timing and the quality of this delivery will matter the most.
Why people recur to personal attacks so often in this forum? lmao.

I just wanted to point out that these next months are critical for Intel's roadmap, it's a huge effort and would be quite an accomplishment.
 

cebri1

Senior member
Jun 13, 2019
261
256
136
I'm not seeing any personal attack there though? Unless you really feel attacked by "if all we want is to be pedantic"?
I feel like it's an unnecessary remark that add little (zero) value.

Is pedantic an insult?
Pedantic is an insulting word used to describe someone who annoys others by correcting small errors, caring too much about minor details, or emphasizing their own expertise especially in some narrow or boring subject matter.
 

Hans Gruber

Platinum Member
Dec 23, 2006
2,297
1,212
136
People get very chippy around here if you talk about Intel. I have said Intel doesn't matter until 18A. That is when Arrow Lake debuts. I have also said that 3nm TSMC silicon gives little or no benefit in performance other than increased power efficiency. The new Apple silicon is reflective of that. 18A is when Intel could/should be on parity with TSMC silicon with regards to efficiency. We will have to wait and see what happens near the end of 2024 or early 2025.
 
Last edited:
Reactions: Tlh97 and cebri1

coercitiv

Diamond Member
Jan 24, 2014
6,598
13,937
136
Why people recur to personal attacks so often in this forum? lmao.
Read my post again please, I was taking your example and pushing it a step further. That was the pedantic part, and it was done to prove a point: narrowing down the focus on Intel's calendar can lead to ridiculous examples, such as the 6 month delay between Intel 3 and Intel 18A. That is not the result of speed, but rather the result of cumulative delays for the previous node(s).

On a personal note though, if you want constructive conversations in this forum, consider not getting triggered by every word and phrasing. If you saw my reply as a personal attack, then good luck with the real ones!

I have said Intel doesn't matter until 18A. That is when Arrow Lake debuts.
Arrow Lake is still a stepping stone. Look at how Golden Cove turned out: on the consumer side it was good, on the server side it was... a train wreck. That is why I argue the complete cycle ends with server products on 18A in 2025. Then you have the full "package" and a complete picture of what Intel has achieved (good or bad, I'm not making any forecast).
 

lightisgood

Senior member
May 27, 2022
211
97
71
Look at how Golden Cove turned out: on the consumer side it was good, on the server side it was... a train wreck.
I think that GLC, uarch, can escape blame for crash of SPR.
SPR simply crashed into bugs.
Then, SPR (& EMR) has a delay of year.

As sever product, SPR has some other problems, too much EMIB tiles, AMX overhead, lacking chiplet flexibility, and so on... but the mainly blame is bug.
 
Jul 27, 2020
19,613
13,477
146
When they do get 18A HVM-ready, I hope they stop setting short period lofty goals and get back to refining the nodes to squeeze as much out of them as possible. New nodes are good and all but they are wasting money by switching to newer nodes way before they have extracted every bit of goodness out of them.

And the nodes aren't gonna help them much if their CPU designs remain geared towards brute force performance rather than smarter utilization of resources. They seem to be leaning too much on their E-cores for efficiency instead of just doing a grounds up fantastic design showing off their "world-class" engineering (their words. See https://www.intel.com/content/www/u...s-technology-systems-architecture-client.html).
 

NTMBK

Lifer
Nov 14, 2011
10,301
5,302
136
When they do get 18A HVM-ready, I hope they stop setting short period lofty goals and get back to refining the nodes to squeeze as much out of them as possible. New nodes are good and all but they are wasting money by switching to newer nodes way before they have extracted every bit of goodness out of them.

And the nodes aren't gonna help them much if their CPU designs remain geared towards brute force performance rather than smarter utilization of resources. They seem to be leaning too much on their E-cores for efficiency instead of just doing a grounds up fantastic design showing off their "world-class" engineering (their words. See https://www.intel.com/content/www/u...s-technology-systems-architecture-client.html).
I'm fine with corporations wasting money if it gives me better products! I wish Nvidia would waste some money by making affordable midrange GPUs...
 

Hulk

Diamond Member
Oct 9, 1999
4,455
2,373
136
Can we at least agree that "a node" means actually putting a product on shelves. Thus far Intel has one of the five nodes on the shelves. Intel 7. Also for some reason I have an uneasy feeling that something could be up with Meteor Lake. It's kind of strange that Intel provided so much data but absolutely no performance data. Not even the normal charts with poorly labeled or confusing axis titles and percentage increases over unknown parameters! Seems like they are still trying to get performance to where it needs to be but if they are releasing in December then wouldn't parts already have been getting binned for quite some time now? Perhaps Intel 4 is causing problems, like every node since 22nm.
 

Abwx

Lifer
Apr 2, 2011
11,517
4,303
136
That s just marketing to please Wall Street and whoever is in need of relief, for the purpose they renamed Intel 4+ as Intel 3, and the same for Intel 18A wich should be better called Intel 20A+.
 

dullard

Elite Member
May 21, 2001
25,476
3,976
126
But here we go with marketing names again. Originally 18A had high-NA, now we know it will not. So is it still 18A, or more of a 20A+? Since Intel is seemingly pulling 18A in because high-NA isn't ready do we credit them for being on time, or do we blame Intel by saying 18A isn't what was originally promised?
18A is 20A+. It always has been and looks like it always will be. I said so in the text you quoted. See here: "Intel 20A = 5nm, Intel 18A = 5+."

I do think that we were mislead with regards to high-NA. Here is the quote from the Anandtech article I linked above: "ASML recently announced High-NA was being delayed- when asked if this was an issue, Intel said no, as the timelines for High-NA and 18A are where Intel expects to intersect and have unquestioned leadership." We interpreted that as Intel will be using high-NA in 18A. But it was just a misleading statement, not an outright claim to use high-NA in 18A.

What we should hold Intel to though is their actual promises with regards to 18A (rather than the misleading text). Intel claimed this:

We better get up to 10% improvement in performance per watt, a different ribbon, and line width reduction. If we get those, then 18A is what Intel promised 18A will be. With or without high-NA.
 

Doug S

Platinum Member
Feb 8, 2020
2,708
4,593
136
Can we at least agree that "a node" means actually putting a product on shelves. Thus far Intel has one of the five nodes on the shelves. Intel 7. Also for some reason I have an uneasy feeling that something could be up with Meteor Lake. It's kind of strange that Intel provided so much data but absolutely no performance data. Not even the normal charts with poorly labeled or confusing axis titles and percentage increases over unknown parameters! Seems like they are still trying to get performance to where it needs to be but if they are releasing in December then wouldn't parts already have been getting binned for quite some time now? Perhaps Intel 4 is causing problems, like every node since 22nm.

I agree. Intel can talk all they want about how good nodes are in the lab, but they did that with 10nm so we know the game. Until mass quantities of chips are delivered in products you can't claim a node has been "delivered". TSMC supposedly had N3B in "production" this entire year but with the only known product on that node shipping last week it is hard to claim anything else other than N3B was delivered in Sept 2023.

I too find it really suspicious that Intel hasn't provided any performance data that can back up their lofty claims for Intel 4. Given that Meteor Lake is hardly a big architectural change any issues that restrict performance or have delayed its launch pretty much have to do with the process not the chip design. If they had anything performance related worth crowing about they certainly would have.

TSMC's issues with N3 have a lot of Intel boosters excited that Intel will retake the lead, as if Pat Gelsinger can wave a magic wand and erase all the issues that caused Intel's fabs past difficulties. TSMC had years of successful problem-free experience with EUV until they ran into significant issues with N3. Intel has yet to ship a single chip made with EUV, and hopes to go beyond TSMC N3's feature sizes in short order with basically zero mass production experience with EUV by the time they fixed the parameters of 18A.

I remain very skeptical that they will be able to deliver the performance they've claimed in the timeline they've set. Intel not talking about Meteor Lake performance - and shipping actual chips much much later than the date they said Intel 4 would be "delivered" - shouldn't give anyone reason to believe their 18A date. I would add that TSMC's N3 problems could make it more likely that Intel has similar issues. That is, if it turns out TSMC's problems were more due to limitations of EUV technology itself rather than screwups on the part of their engineers.
 
Jul 27, 2020
19,613
13,477
146
I remain very skeptical that they will be able to deliver the performance they've claimed in the timeline they've set. Intel not talking about Meteor Lake performance - and shipping actual chips much much later than the date they said Intel 4 would be "delivered" - shouldn't give anyone reason to believe their 18A date.
My guess is that there's still a lot of work to be done. BIOS updates, microcode updates, Windows scheduler updates and most importantly, making sure that the NPU works flawlessly with Windows Copilot. I don't have high hopes for MTL and most likely, it won't be a must-have mobile CPU but it heralds a new beginning and direction for Intel's mobile efforts. It's what they should have had four or five years ago. Maybe then Apple wouldn't have ditched them for their own SoC.
 

Saylick

Diamond Member
Sep 10, 2012
3,504
7,764
136
I agree. Intel can talk all they want about how good nodes are in the lab, but they did that with 10nm so we know the game. Until mass quantities of chips are delivered in products you can't claim a node has been "delivered". TSMC supposedly had N3B in "production" this entire year but with the only known product on that node shipping last week it is hard to claim anything else other than N3B was delivered in Sept 2023.

I too find it really suspicious that Intel hasn't provided any performance data that can back up their lofty claims for Intel 4. Given that Meteor Lake is hardly a big architectural change any issues that restrict performance or have delayed its launch pretty much have to do with the process not the chip design. If they had anything performance related worth crowing about they certainly would have.

TSMC's issues with N3 have a lot of Intel boosters excited that Intel will retake the lead, as if Pat Gelsinger can wave a magic wand and erase all the issues that caused Intel's fabs past difficulties. TSMC had years of successful problem-free experience with EUV until they ran into significant issues with N3. Intel has yet to ship a single chip made with EUV, and hopes to go beyond TSMC N3's feature sizes in short order with basically zero mass production experience with EUV by the time they fixed the parameters of 18A.

I remain very skeptical that they will be able to deliver the performance they've claimed in the timeline they've set. Intel not talking about Meteor Lake performance - and shipping actual chips much much later than the date they said Intel 4 would be "delivered" - shouldn't give anyone reason to believe their 18A date. I would add that TSMC's N3 problems could make it more likely that Intel has similar issues. That is, if it turns out TSMC's problems were more due to limitations of EUV technology itself rather than screwups on the part of their engineers.
FWIW, Intel's "5 nodes in 4 years" just means they need to hit the "manufacturing ready" milestone, which may be MONTHS ahead of the first product on the shelves. I'm not sure if "manufacturing ready" means ready for high volume manufacturing or if it just means an earlier milestone but the differentiation is important. I suspect that even if Intel 18A achieves decent yields by H2 2024, the first products won't be on shelves until late H1 2025.

 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |