[ASML] Intel to introduce EUV in 2016

mrmt

Diamond Member
Aug 18, 2012
3,974
0
76
It seems that the 14nm node will be very short lived.


ASML said:
http://www.asml.com/asml/show.do?lang=EN&ctx=5869&rid=50869
(...)

"Our EUV program showed substantial progress in the quarter. All installed NXE:3300B systems have been upgraded to a wafer processing capability of more than 500 wafers per day. Two customers conducted endurance tests that demonstrated this capability of more than 500 wafers in a 24-hour period. We continue executing programs that should consistently deliver this level of performance, which our customers require by the end of the year. As planned, we recognized two EUV systems in revenue in the quarter; another system started exposing wafers in October and will be included in Q4 sales. We are working with a customer towards a mid-node insertion of EUV at the 10 nanometer logic node expected in late 2016. Other customers are preparing for initial learning in a manufacturing environment. In this scenario we expect to ship around six NXE:3350B systems starting mid-2015, on top of the three NXE:3300B systems that will be converted to NXE:3350B configuration," Wennink said.
 
Last edited:

ShintaiDK

Lifer
Apr 22, 2012
20,378
145
106
Thats very positive. EUV is one of the key problems currently for lower transistor cost for fabless companies.

But again, EUV have been around the corner for a long time.
 
Last edited:

witeken

Diamond Member
Dec 25, 2013
3,899
193
106
Intel just stated they couldn't bet that EUV would be available in time for the 10 or 7nm node. If they bet on EUV and it wasn't available, they could be waiting many months or longer etc.

Does that late-2016 refer to 10nm availability or the "mid-node insertion" part?
 

dealcorn

Senior member
May 28, 2011
247
4
76
Is production of 500 wafers in 24 hours adequate for high volume production? This sounds like an important milestone. Is it enough?
 

Idontcare

Elite Member
Oct 10, 1999
21,118
59
91
Intel just stated they couldn't bet that EUV would be available in time for the 10 or 7nm node. If they bet on EUV and it wasn't available, they could be waiting many months or longer etc.

Does that late-2016 refer to 10nm availability or the "mid-node insertion" part?

Mid-node insertion means the node will already be in production and this would qualify as a post-production requal.

My interpretation of this wording is that Intel's POR (plan of record) is to put 10nm into HVM production in 1H 2016 with multi-patterning immersion litho with the intention of requaling the node in 2H 2016 using EUV tools to replace key critical litho steps.

It is a fairly low-risk way of transitioning to EUV without introducing any risk to production. Should the EUV transition effort fall on its face, they still have Plan A to fall back on.

In all, nothing but good news here really.
 

Idontcare

Elite Member
Oct 10, 1999
21,118
59
91
Is production of 500 wafers in 24 hours adequate for high volume production? This sounds like an important milestone. Is it enough?

Not a well defined question because the easy answer here is "yes, of course, it just means they need to buy more tools to compensate for the lower throughput".

But I'd guesstimate that 500 wfrs/day is probably good enough to get it implemented in say one or two key mask levels where a single-pass on a low throughput EUV tool would supplant a quad-immersion printing step in the process flow. That might provide compelling enough economics to make the equation balance out in favor of EUV at that throughput.
 

Homeles

Platinum Member
Dec 9, 2011
2,580
0
0
Seems like I was right when I said EUV was coming along nicely. This is extremely good news for everyone. EUV should tremendously reduce the cost of things.
 

Hans de Vries

Senior member
May 2, 2008
321
1,018
136
www.chip-architect.com
Not a well defined question because the easy answer here is "yes, of course, it just means they need to buy more tools to compensate for the lower throughput".

But I'd guesstimate that 500 wfrs/day is probably good enough to get it implemented in say one or two key mask levels where a single-pass on a low throughput EUV tool would supplant a quad-immersion printing step in the process flow. That might provide compelling enough economics to make the equation balance out in favor of EUV at that throughput.

The record is over 600 wafers/day with a 44W EUV light source. The new
80W EUV light source for the NXE3350B should hopefully be able to reach a
significantly higher throughput.
 

Hans de Vries

Senior member
May 2, 2008
321
1,018
136
www.chip-architect.com
It wasn't explicitly said, however the timeline immediately disqualifies anyone but Intel as a candidate.

Wrong.... Just wild speculation as usual here.

It's TSMC and not Intel.

A good technical reason is that TSMC uses high density 2D interconnect
compared to Intel's much simpler 1D interconnect. The Flash NAND industry
already works with 1D interconnects equivalent to Intel's 10nm node
and is doing so without EUV.



This is a real 16nm process with 16nm half pitch word lines
(32nm pitch versus Intel's 52nm pitch)

And it's in full mass production today.
http://investors.micron.com/releasedetail.cfm?ReleaseID=861446


For an idea about 10nm node 2D interconnect using EUV have a look
a slide 40 and onwards here. This is what TSMC will do.
http://www.asml.com/doclib/misc/asml_20140306_EUV_lithography_-_NXE_platform_performance_overview.pdf
 

witeken

Diamond Member
Dec 25, 2013
3,899
193
106
Mid-node insertion means the node will already be in production and this would qualify as a post-production requal.

My interpretation of this wording is that Intel's POR (plan of record) is to put 10nm into HVM production in 1H 2016 with multi-patterning immersion litho with the intention of requaling the node in 2H 2016 using EUV tools to replace key critical litho steps.

It is a fairly low-risk way of transitioning to EUV without introducing any risk to production. Should the EUV transition effort fall on its face, they still have Plan A to fall back on.

In all, nothing but good news here really.

Awesome. 10nm might bring the average time between Intel nodes again to something just above 2 years. EUV is also a bit earlier than expected; 2016 seemed about the time EUV would be ready, but I didn't expect they'd switch to EUV after HVM already started.

However, it's a bit strange that TSMC won't even use EUV at its 10nm node, as this week was announced.
 
Last edited:

witeken

Diamond Member
Dec 25, 2013
3,899
193
106
Not a well defined question because the easy answer here is "yes, of course, it just means they need to buy more tools to compensate for the lower throughput".

But I'd guesstimate that 500 wfrs/day is probably good enough to get it implemented in say one or two key mask levels where a single-pass on a low throughput EUV tool would supplant a quad-immersion printing step in the process flow. That might provide compelling enough economics to make the equation balance out in favor of EUV at that throughput.

But this 500 wafer/day number will likely become higher still, right?

From Wiki:

As of late 2014, wafer processing capability was more than 500 wafers per day with 50% availability (500 WPD0.5) or 42 WPH1.0 (500 WPD0.5 / 24 h / 0.5), with a target of 1,500 WPD0.5 or 125 WPH1.0 in 2016
 

witeken

Diamond Member
Dec 25, 2013
3,899
193
106
Wrong.... Just wild speculation as usual here.

It's TSMC and not Intel.
Tu quoque.

I can point you directly to TSMC's latest earnings release; they will start production of their first FinFETs, which, if you can recall, they had nothing but good words about with regards to its health and yield, in mid-2015 with the real volume coming in 2017, and they will likely not use EUV, so you can see where you come out if you add 2+ years to that for their 10nm production.

TSMC will not use EUV lithography for its first 10 nm products, according to Liu, but the company is working with ASML to develop tools for the process technology.

“There is still some way to go to catch 10 nm. We’re looking for an entry point after 10 nm.”


(10nm = 16nm + 2 years, not 1)

A good technical reason is that TSMC uses high density 2D interconnect
compared to Intel's much simpler 1D interconnect. The Flash NAND industry
already works with 1D interconnects equivalent to Intel's 10nm node
and is doing so without EUV.
As Intel made clear, using EUV is all about economic reasons.

This is a real 16nm process with 16nm half pitch word lines
(32nm pitch versus Intel's 52nm pitch)
There's no such thing as a real node (anymore). One shoud never compare nodes on the base of its number. I regularly see people making the huge mistake, even AnandTech, of saying for example that 22nm -> 14nm is a bigger scaling than usual (which BTW is true, but..), because (22/14)² = 2.5, or in AnandTech's case, saying that 28->20nm will at most increase density by [100 / (28/20)²]%.

Even if there is 1 feature that has exactly the size of the node name, you can find at least 3 other important feature sizes that do not follow the name.
 

Hans de Vries

Senior member
May 2, 2008
321
1,018
136
www.chip-architect.com
Tu quoque.
I can point you directly to TSMC's latest earnings release; they will start production of their first FinFETs, which, if you can recall, they had nothing but good words about with regards to its health and yield, in mid-2015 with the real volume coming in 2017, and they will likely not use EUV, so you can see where you come out if you add 2+ years to that for their 10nm production.

Witeken,

On how many occasions didn't TSMC's Mark Lui say that:

TSMC said:
We will not use EUV for our first 10nm products, , however -- our EUV team is still continuously working on EUV, hopefully to insert a few layers after the 10 nanometer process start to qualify as a follow up process simplification

He said that at about every earnings conference call this year and this is
exactly what is been talked about now.

ASML's Peter Wennink said:
We are working with a customer towards a mid-node insertion of EUV at the 10 nanometer logic node expected in late 2016

They are talking about the same, (mid-node insertion = insertion after the start)
 

witeken

Diamond Member
Dec 25, 2013
3,899
193
106
But that does not prove that it's TSMC, nor does it disprove that it's Intel. You seem to be ignoring the time that is stated, late 2016. Late 2016 would be a pre-node insertion, so the only viable company is Intel.

Edit: don't forget that he says they won't use 10nm for their first products, which will be available somewhere in (late) 2018. So a late 2016 insertion for a ~mid-2019 availability?

What do other people think?
 
Last edited:

AtenRa

Lifer
Feb 2, 2009
14,003
3,361
136
just my opinion,

1: The article doesn't say what company will use the EUV, so the title of the topic is wrong and should be corrected.

2: Two months ago, Mark Bohr said EUV was not going to be ready for 10nm. I don't believe this changed within two months.

“I am very interested in EUV [because it] could really help scaling and perhaps process simplification, reducing three or four masks to one in some cases,” Bohr said. “Unfortunately, it’s not ready yet -- the throughput and reliability are not there

3: The article says they are working with a customer for mid-node insertion for 10nm in late 2016. The only one ever talked about mid-node EUV at 10nm is TSMC.

4: The article is not clear about what it means when it says "for 10nm in late 2016". It could be referring to Intel or TSMC equally as the same article earlier says "Two customers conducted endurance tests".

Edit: Mark Bohr correct link fixed
 
Last edited:
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |