[DigiTimes] TSMC 10 nm trial production in 2015, mass production in 2016

Fjodor2001

Diamond Member
Feb 6, 2010
3,938
408
126
http://www.digitimes.com/news/a20140624PD214.html

Taiwan Semiconductor Manufacturing Company (TSMC) will operate at full capacity in the third and fourth quarters, with revenues generated from 20nm processes to account for 10% of total revenues in the third quarter and rise to 20% in the fourth, according company chairman Morris Chang.
[...]
TSMC currently has a total of over 20 tape-out clients for its 16nm FinFET process, the company noted.
[...]
Meanwhile, TSMC plans to set up a special R&D unit, which will be powered by 300-400 technicians, to develop 10nm processes, aiming to push the process into trial production in 2015 and mass production in 2016.
So it seems both TSMC and Intel will have 10 nm in mass production in 2016 according to plan.
 

ShintaiDK

Lifer
Apr 22, 2012
20,378
145
106
TSMC have been mass producing 20nm since 2012, not to mention 28nm since 2010 and 16nm FF since 2013

TSMCs foundry roadmap cant be used for anything when compared to actual products(Or anything at all really). Intels roadmap is a product roadmap.

TSMC may not even ship its 20nm with FF, aka what they call 16nm with FF before sometime in 2016 in terms of real products. And I assume what TSMC calls 10nm is actually the real 14 or 16nm process.

Remember, we are still waiting for 20nm products today.
 
Last edited:

witeken

Diamond Member
Dec 25, 2013
3,899
193
106
Probably nothing different from this article.

Intel will launch 10nm products around mid-2016, while TSMC won't have their 14nm Intel equivalent for about 2 years.
 

AtenRa

Lifer
Feb 2, 2009
14,003
3,361
136
TSMC have been mass producing 20nm since 2012, not to mention 28nm since 2010 and 16nm FF since 2013


20nm was mass production ready early 2014. First products will be release late 2014 early 2015.
16nm FF will be mass production ready in late 2014. First products could be released late 2015 early 2016.


TSMCs foundry roadmap cant be used for anything when compared to actual products(Or anything at all really). Intels roadmap is a product roadmap.

TSMCs roadmap is about the company's Fabrication cabability, that is when TSMC has each process ready for mass production. Intel is selling End products not the fabrication process and natuarly they release the product roadmap not the fabrication roadmap.

And I assume what TSMC calls 10nm is actually the real 14 or 16nm process.

TSMCs 16nm FF is close to Intels 14nm. Both 10nm will be close as well.

Remember, we are still waiting for 20nm products today.

20nm products will arive close to Intel's 14nm products.
 

raghu78

Diamond Member
Aug 23, 2012
4,093
1,475
136
TSMC have been mass producing 20nm since 2012, not to mention 28nm since 2010 and 16nm FF since 2013

TSMCs foundry roadmap cant be used for anything when compared to actual products(Or anything at all really). Intels roadmap is a product roadmap.

TSMC may not even ship its 20nm with FF, aka what they call 16nm with FF before sometime in 2016 in terms of real products. And I assume what TSMC calls 10nm is actually the real 14 or 16nm process.

Remember, we are still waiting for 20nm products today.

We get the fact that you are a Intel supporter but that does not mean you can belittle TSMC. Intel is ramping Broadwell at 14FF just as TSMC has been in volume production of 20nm from January. The lion's share of 20nm wafer allocation has gone to Apple. Qualcomm gets the left overs. btw Qualcomm is shipping 20nm modems and the actual product using it, the Samsung Galaxy S5 LTE-A, is going to be in retail shelves in July.

http://www.qualcomm.com/media/relea...commercial-lte-advanced-category-6-smartphone

http://www.anandtech.com/show/8190/...galaxy-s5-broadband-ltea-first-to-s805mdm9x35

Apple is building a stockpile for the iPhone 6 and next gen iPad Air 2. They have been building these chips for almost 6 months now just as Intel has been building Broadwell in the sametime. The production ramp at TSMC 20nm is steep from Q3 2014. 10% of wafer revenue in Q3 and 20% of wafer revenue in Q4 from 20nm.

The truth is by the time Intel has Broadwell available for purchase, Apple will be selling millions of iPhone 6 and iPad Air 2 with the A8 chip which is manufactured at TSMC 20nm. TSMC 16FF/16FF+ comes a year later so we can expect the Apple A9 chip to power the iPhone 6s and iPad Air 3 by Q4 2015. Qualcomm's 16 FINFET modems should start shipping in mid - 2015. Depending on how successful Samsung is in ramping 14 LPE we could see Apple multi source A9 from multiple foundries. Intel's lead at 14nm FINFET is roughly a year as opposed to the multi year lead which they had till the 22 FINFET node.

The future of the foundries lies in enabling Apple, Qualcomm, AMD, Nvidia and other fabless companies to compete and take market share from Intel in the various segments that they compete. The only way for the foundries to survive is to take semiconductor chip business from Intel as the cost of fabs is getting too high (USD 5 - 7 billion). TSMC does face competition from Samsung /GF at 14 FINFET and future nodes. Almost every fabless company wants multiple sources to avoid supply shortages and having to wait in a queue behind Apple.
 
Mar 10, 2006
11,715
2,012
126
TSMC have been mass producing 20nm since 2012, not to mention 28nm since 2010 and 16nm FF since 2013

TSMCs foundry roadmap cant be used for anything when compared to actual products(Or anything at all really). Intels roadmap is a product roadmap.

TSMC may not even ship its 20nm with FF, aka what they call 16nm with FF before sometime in 2016 in terms of real products. And I assume what TSMC calls 10nm is actually the real 14 or 16nm process.

Remember, we are still waiting for 20nm products today.

TSMC's definition of high volume production is typically akin to what Intel means when it is building qualification/engineering samples.

If you look on Zauba, you'll see that MSM8994 (Snapdragon 810) just started showing up earlier this month (20nm). Cherry Trail (14nm SoC process) has been sampling in non-trivial volume since about February/March.
 

Exophase

Diamond Member
Apr 19, 2012
4,439
9
81
TSMC may not even ship its 20nm with FF, aka what they call 16nm with FF before sometime in 2016 in terms of real products. And I assume what TSMC calls 10nm is actually the real 14 or 16nm process.

I've seen you say this in another thread too. Why do you think there's a chance TSMC will cancel their 16nm process? They've spent most of the money developing it and I've heard a lot of rumors of several vendors waiting on it instead of going with their 20nm.

Intel will launch 10nm products around mid-2016, while TSMC won't have their 14nm Intel equivalent for about 2 years.

So, around 18-20 months after they release their first 14nm products? I doubt it. They've been trending a little over 24 months for the past few nodes (like around 26-27 months), I don't see them vastly undercutting this now. I expect first 10nm products from Intel early 2017 or late 2016 at the earliest.

Also, TSMC is saying that the density increase from 16nm to 10nm will be more than a typical node shift, so I think they'll be pulling in some of the slack introduced by not or barely increasing density with 16nm over 20nm. Then again, Intel is saying their 14nm will increase density more than usual too, so that could cancel it out.
 
Last edited:

ArizonaSteve

Senior member
Dec 20, 2003
747
92
91
"Meanwhile, TSMC plans to set up a special R&D unit, which will be powered by 300-400 technicians"

Are they riding exercise bikes connected to generators?
 

witeken

Diamond Member
Dec 25, 2013
3,899
193
106
Intel is ramping Broadwell at 14FF just as TSMC has been in volume production of 20nm from January.
Sure, but how are the yields?
The lion's share of 20nm wafer allocation has gone to Apple.
Source? Today I read a recent article predicting Samsung is the supplier of the A8.
The production ramp at TSMC 20nm is steep from Q3 2014. 10% of wafer revenue in Q3 and 20% of wafer revenue in Q4 from 20nm.
That isn't really steep. In fact, most of TSMC's revenue comes from old nodes.

The truth is by the time Intel has Broadwell available for purchase, Apple will be selling millions of iPhone 6 and iPad Air 2 with the A8 chip which is manufactured at TSMC 20nm.
The world doesn't only consist of Apple. The rest of the world, ~85% or so, will have 20nm only in H1 2015 with the SGS6 etc.

TSMC 16FF/16FF+ comes a year later so we can expect the Apple A9 chip to power the iPhone 6s and iPad Air 3 by Q4 2015. Qualcomm's 16 FINFET modems should start shipping in mid - 2015. Depending on how successful Samsung is in ramping 14 LPE we could see Apple multi source A9 from multiple foundries.
We'll see.
Intel's lead at 14nm FINFET is roughly a year as opposed to the multi year lead which they had till the 22 FINFET node.
Not true. Intel's lead is bigger than ever. Intel has 22nm products widely available since mid-2012. 20nm? H1'15. FinFETs? H1'16 or later, 3 years after Intel.

About half a year faster than HKMG (almost 4 years)... but they delayed 10nm because of this pull in. You will be able to buy TSMC's 2nd generation FinFET with density scaling as Moore's Law dictates at the earliest in 2018.

For those counting, that's 4 years after Intel's 14nm Tri-Gate.

Source: TSMC (bottom) + SeekingAlpha;

20nm qualified: 2012 -- products: H1 2015
16nm (20nm FF) qualified: 2013 -- products: 2016
10nm (14nm FF) qualified: EOY 2015 -- products: EOY2018 - 2019

The gap is growing. Not shrinking. In 2018, Intel will have their 2nd generation Germanium, a ~5.5x density lead and 450mm fabs (for a combined transistor cost and performance advantage of each 4 nodes).

The future of the foundries lies in enabling Apple, Qualcomm, AMD, Nvidia and other fabless companies to compete and take market share from Intel in the various segments that they compete. The only way for the foundries to survive is to take semiconductor chip business from Intel as the cost of fabs is getting too high (USD 5 - 7 billion). TSMC does face competition from Samsung /GF at 14 FINFET and future nodes. Almost every fabless company wants multiple sources to avoid supply shortages and having to wait in a queue behind Apple.
Intel is in a unique position. Intel with its ever growing manufacturing leadership will take away all cutting edge revenue by gaining massive market share in all important areas of computing + shrinking the gap between IGPs and dGPUs. While Intel's income will grow, TSMC, Samsung and GlobalFoundries will each fight for the remaining dies. The leading edge market will further consolidate as necessary.
 

witeken

Diamond Member
Dec 25, 2013
3,899
193
106
So, around 18-20 months after they release their first 14nm products? I doubt it. They've been trending a little over 24 months for the past few nodes (like around 26-27 months), I don't see them vastly undercutting this now. I expect first 10nm products from Intel early 2017 or late 2016 at the earliest.
Look at my post above. TSMC is doing some 'magic tricks' to make their ever growing gap with Intel look better. Node names are not more than marketing names. Instead of naming their node like traditionally, where it indicates a new step of Moore's Law, TSMC decided it was okay to take a new node for just releasing a new transistor technology without any increases in density, which is exactly what they will do at 16nm. So now we will get the better transistor sooner than before (half a year), but we'll have to wait 2 years after FinFETs for a new node with improved density. In the meantime, TSMC will release a 'FinFET Plus' with 15% scaling.

So 2 years after 2016 is 2018. TSMC, however, says they will qualify 10nm EOY 2015 (+ new nodes are generally a few months later than 24 months), so that makes it reasonable to think that it will be H1'19 before we'll see TSMC's competitor of Intel's 14nm in products.

Also, TSMC is saying that the density increase from 16nm to 10nm will be more than a typical node shift, so I think they'll be pulling in some of the slack introduced by not or barely increasing density with 16nm over 20nm. Then again, Intel is saying their 14nm will increase density more than usual too, so that could cancel it out.
Except then that TSMC's comments have been proven to be not factual (it looks more like a 1.65x improvement).
 

Khato

Golden Member
Jul 15, 2001
1,225
281
136
Good to see that TSMC is adequately concerned about their competition to keep up their roadmap fantasies. Though I'd hope that final statement is merely a misinterpretation? If they're just now setting up a special R&D unit to develop their 10nm process how do they expect it to be anywhere near ready in 2016? I'd guess what they're intending to convey is that they're setting up something similar to Intel's D1D development fab and it's merely taking over development.

It definitely is a nice, concise article though. It also hits on the important points regarding capacity ramp which many prefer to ignore in their analysis of the process node race. TSMC wants to claim that they've been in mass production of 20nm since the beginning of this year... and yet it's only going to account for a measly 20% of their revenue in Q4. By comparison 22nm accounted for a quarter of Intel's revenue in Q2 2012 (the quarter when it was released) and, according to those articles, was projected to rise to half of Intel's revenue in Q3 2012. If Intel released products at the same level of process maturity as TSMC they'd be anywhere from half to a full year further 'ahead'. (Of course Intel doesn't do that because, unlike TSMC, they don't sell wafers, so defect density actually affects their margins.)

Anyway, I know that I'm certainly looking forward to seeing what actually comes of TSMC's roadmap. We've been stuck on 28nm for far too long - 2.5 years and counting. Which is another reason why their claims of such an accelerated schedule are so bizarre - how precisely do they plan on recouping their investment for these interim processes that are supposedly only going to be around for roughly a year? Sure they're a necessary stepping stone for the next one, but it still seems quite peculiar. Especially when well over half of their revenue continues to come from 28nm and larger legacy processes.
 
Mar 10, 2006
11,715
2,012
126
Good to see that TSMC is adequately concerned about their competition to keep up their roadmap fantasies. Though I'd hope that final statement is merely a misinterpretation? If they're just now setting up a special R&D unit to develop their 10nm process how do they expect it to be anywhere near ready in 2016? I'd guess what they're intending to convey is that they're setting up something similar to Intel's D1D development fab and it's merely taking over development.

It definitely is a nice, concise article though. It also hits on the important points regarding capacity ramp which many prefer to ignore in their analysis of the process node race. TSMC wants to claim that they've been in mass production of 20nm since the beginning of this year... and yet it's only going to account for a measly 20% of their revenue in Q4. By comparison 22nm accounted for a quarter of Intel's revenue in Q2 2012 (the quarter when it was released) and, according to those articles, was projected to rise to half of Intel's revenue in Q3 2012. If Intel released products at the same level of process maturity as TSMC they'd be anywhere from half to a full year further 'ahead'. (Of course Intel doesn't do that because, unlike TSMC, they don't sell wafers, so defect density actually affects their margins.)

Anyway, I know that I'm certainly looking forward to seeing what actually comes of TSMC's roadmap. We've been stuck on 28nm for far too long - 2.5 years and counting. Which is another reason why their claims of such an accelerated schedule are so bizarre - how precisely do they plan on recouping their investment for these interim processes that are supposedly only going to be around for roughly a year? Sure they're a necessary stepping stone for the next one, but it still seems quite peculiar. Especially when well over half of their revenue continues to come from 28nm and larger legacy processes.

Look, the foundries are just doing what they're best at -- fabrication. Period. Now that Samsung is thumping its chest loudly, TSMC needs to thump louder.

Realistically, expect the first 20nm non-Apple SoCs (i.e. from vendors that can't afford to pay for crap yields) to come in 1H 2015 (per Qualcomm's public roadmap), then the first 16 FinFET mobile chips to come in 1H 2016. Then expect 16 FinFET+ in 1H 2017, and then finally 10nm in 1H 2018.

I would hazard a guess on the Intel side of things to expect:

14nm in early 2015 for tablets/late 2015 for phones -> 10nm in late 2016/early 2017 for Atom, mid-to late 2016 for Core, then late 2018/early 2019 for 7nm.
 
Last edited:

witeken

Diamond Member
Dec 25, 2013
3,899
193
106
OK now I am confused. What Intel node will TSMC's 10nm node be most similar to?

14nm: 2nd generation FF and similar density. I just realized, however, that TSMC might use EUV for their 10nm node, so they might outperform current predictions and make 10nm look a bit more like Intel's 10nm, density wise.

 

witeken

Diamond Member
Dec 25, 2013
3,899
193
106
Look, the foundries are just doing what they're best at -- fabrication. Period. Now that Samsung is thumping its chest loudly, TSMC needs to thump louder.

Realistically, expect the first 20nm non-Apple SoCs (i.e. from vendors that can't afford to pay for crap yields) to come in 1H 2015 (per Qualcomm's public roadmap), then the first 16 FinFET mobile chips to come in 1H 2016. Then expect 16 FinFET+ in 1H 2017, and then finally 10nm in 1H 2018.
I generally agree, but 1H 2018 is too optimistic for 10nm. The only company you can expect a 2 year cadence from is Intel.
 
Mar 10, 2006
11,715
2,012
126
I generally agree, but 1H 2018 is too optimistic for 10nm. The only company you can expect a 2 year cadence from is Intel.

The bigger challenge will be the fabless IC houses getting their proper return on investment.

These designs are becoming more and more expensive to make and once the high end mobile growth slows to a crawl (in fact it looks like it already has), the chip cos won't be able to keep up with the foundries, even if the foundries can deliver.
 

ShintaiDK

Lifer
Apr 22, 2012
20,378
145
106
I've seen you say this in another thread too. Why do you think there's a chance TSMC will cancel their 16nm process? They've spent most of the money developing it and I've heard a lot of rumors of several vendors waiting on it instead of going with their 20nm.

Cancel it? I have at no time said that. The 20nm with FF aka what they call 16nm is what majority of their customers want. (Read low power.)
 

witeken

Diamond Member
Dec 25, 2013
3,899
193
106
Intel's tick-tock cycle refers to manufacturing node and architecture, not specific SKUs.
 

Khato

Golden Member
Jul 15, 2001
1,225
281
136
I just realized, however, that TSMC might use EUV for their 10nm node, so they might outperform current predictions and make 10nm look a bit more like Intel's 10nm, density wise.

Huh, I guess that TSMC actually has stated that they're still planning to use EUV at '10nm' - http://www.theregister.co.uk/2014/02/25/asml_euv_scanner_fails_at_tsmc_and_intel_investigates_dsa/ - which, well, good luck to them. Granted I can understand why they'd take that route as using 193nm lithography for their '10nm' node may well not be economically feasible for their model. But given EUV's track record relying on it for their '10nm' node could easily result in a delay of multiple years, and that's assuming that they do eventually get it to work.

(As a side note, I also wonder how much that approach on TSMC's part is a result of Intel's silence regarding their 14nm process node?)
 

Homeles

Platinum Member
Dec 9, 2011
2,580
0
0
Fixed that for you.

Haswell-K -> Broadwell-K is 2 years. Multiply that by 2x to get a complete tick-tock cycle and you get 4 years.
If you make the ridiculous mistake of picking the worst case scenario...

Broadwell -> Skylake is something like 6 months, so wouldn't that mean that it's a 1 year cycle from tick to tick or tock to tock? See how useless it is to cherry pick?
Huh, I guess that TSMC actually has stated that they're still planning to use EUV at '10nm' - http://www.theregister.co.uk/2014/02/25/asml_euv_scanner_fails_at_tsmc_and_intel_investigates_dsa/ - which, well, good luck to them. Granted I can understand why they'd take that route as using 193nm lithography for their '10nm' node may well not be economically feasible for their model. But given EUV's track record relying on it for their '10nm' node could easily result in a delay of multiple years, and that's assuming that they do eventually get it to work.

(As a side note, I also wonder how much that approach on TSMC's part is a result of Intel's silence regarding their 14nm process node?)
That article says that the EUV unit fried. The implications are the opposite of the way you took it. A $150 million dollar machine went "kablooey."

You see, with EUV, you want to create an ultraviolet laser by vaporizing droplets of tin with a CO2 laser. You're not supposed to vaporize the equipment. :awe:
 
Last edited:

Fjodor2001

Diamond Member
Feb 6, 2010
3,938
408
126
Skylake in summer next year. So obviously not.

We'll see. Every Intel CPU generation since and including Sandy Bridge has been delayed.

And anyway, the tick-tock clock is broken regardless, since we already know how much Broadwell is delayed.
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |