Everyone Hit it

Page 27 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Chiboy

Diamond Member
Jun 4, 2002
3,814
6
81
I loved, I lost, I cried. Sh!t happens, time is key. TIME is the answer. Pain is the seconds that tick in between that time. Dont let that pain get to you to much, NEVER hurt yourself.
 

cavemanmoron

Lifer
Mar 13, 2001
13,664
28
91
Hilary Clinton gets elected President and is spending her first night in the White House-------she has waited SO long?

The ghost of George Washington appears, and Hilary says, "How can I best serve my country?"

Washington says, "Never tell a lie."

Hilary says, " AH HELL! There's no way I can go along with that."

The next night, the ghost of Thomas Jefferson appears, and Hilary says, "How best can I serve my country?"

Jefferson says, "Listen to the people."

Hilary says, "OHHH! I REALLY don't want to do that."


On the third night, the ghost of Abe Lincoln appears and Hilary says, "How best can I serve my country?"

Lincoln says, "GO TO THE THEATER!"

 

amol

Lifer
Jul 8, 2001
11,679
1
0
Your current bandwidth reading is:

4.34 Mbps

which means you can download at 555.31 KB/sec. from our servers.
 

Al Neri

Diamond Member
Jan 12, 2002
5,680
1
76
<td bgcolor="#DDDDDD" width="100"><font face="verdana" size="1"><b>::</b> <a href="shows.htm">shows</a></td>
 

sharkeeper

Lifer
Jan 13, 2001
10,886
2
0
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;

ENTITY universal_multiplier IS
GENERIC (
N : integer:= 4; -- # bits of X opernand.
M : integer:= 4 -- # bits of Y opernand.
);
PORT (
X : IN std_ulogic_vector(N-1 downto 0);
Y : IN std_ulogic_vector(M-1 downto 0);
MODE : IN std_ulogic_vector(1 downto 0);
Z : OUT std_ulogic_vector(N+M-1 downto 0)
);
END universal_multiplier;

ARCHITECTURE behav OF universal_multiplier IS
SIGNAL X_un : unsigned(N-1 downto 0);
SIGNAL Y_un : unsigned(M-1 downto 0);
SIGNAL Z_un : unsigned(N+M-1 downto 0);
BEGIN

X_un <= conv_unsigned(conv_integer("0" & X),N);
Y_un <= conv_unsigned(conv_integer("0" & Y),M);

Multiplier : PROCESS(MODE,X_un,Y_un)
VARIABLE t_X : unsigned(N-1 downto 0);
VARIABLE t_Y : unsigned(M-1 downto 0);
VARIABLE t_Z : unsigned(N+M-1 downto 0);
VARIABLE sign_X,sign_Y,sign_Z : std_logic;
BEGIN
IF (MODE="00") THEN -- Unsigned multiplication.
Z_un <= X_un * Y_un;
ELSIF (MODE="01") THEN -- Sign magnitude multiplication.
Z_un(N+M-3 downto 0) <= X_un(N-2 downto 0) * Y_un(M-2 downto 0);
Z_un(N+M-2) <= '0';
Z_un(N+M-1) <= X_un(N-1) xor Y_un(M-1);
ELSIF (MODE="10" or MODE="11") THEN -- 1s/2s complement multiplication.
t_X := X_un;
t_Y := Y_un;
sign_X := X_un(N-1);
sign_Y := Y_un(M-1);
sign_Z := sign_X xor sign_Y;
IF (sign_X='1') THEN
t_X(N-2 downto 0) := not t_X(N-2 downto 0);
IF (MODE="11") THEN
t_X(N-2 downto 0) := t_X(N-2 downto 0) + "1";
END IF;
END IF;
IF (sign_Y='1') THEN
t_Y(M-2 downto 0) := not t_Y(M-2 downto 0);
IF (MODE="11") THEN
t_Y(M-2 downto 0) := t_Y(M-2 downto 0) + "1";
END IF;
END IF;
t_Z(N+M-3 downto 0) := t_X(N-2 downto 0) * t_Y(M-2 downto 0);
IF (sign_Z='1') THEN
t_Z(N+M-3 downto 0) := not t_Z(N+M-3 downto 0);
IF (MODE="11") THEN
t_Z(M+N-3 downto 0) := t_Z(N+M-3 downto 0) + "1";
END IF;
END IF;
t_Z(N+M-2) := sign_Z;
t_Z(N+M-1) := sign_Z;
Z_un <= t_Z;
END IF;
END PROCESS Multiplier;

Z <= to_stdulogicvector(conv_integer(Z_un),N+M);

END behav;
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |