Discussion Intel current and future Lakes & Rapids thread

Page 581 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.
Jul 27, 2020
17,967
11,709
116
Last edited:

moinmoin

Diamond Member
Jun 1, 2017
4,994
7,765
136
Yeah, before your edit you asked what's the limiting factor. Aside the quote you now added there's also this:
EUV machines take years to build and ASML can only ship so many of them in any given year. Last year, it sold just 31, according to its financials, and it’s made around only 100 in total.
It's simply the very definition of high tech. Also constant improvements are being made, so these are the opposite of mass production.
 
Jul 27, 2020
17,967
11,709
116
Yeah, before your edit you asked what's the limiting factor. Aside the quote you now added there's also this:

It's simply the very definition of high tech. Also constant improvements are being made, so these are the opposite of mass production.
Yeah. 100,000 components in an EUV machine means ASML is likely understaffed!
 

Mopetar

Diamond Member
Jan 31, 2011
8,010
6,454
136
It's just not likely that staffing is an issue unless there are some components that can only be made or assembled by a small group of highly specialized employees.

More likely that some components are hard to make or source which creates a bottleneck for production. Anything that complex and even fixing the biggest bottleneck may not do much since there are going to be several others immediately afterwards.
 

moinmoin

Diamond Member
Jun 1, 2017
4,994
7,765
136
unless there are some components that can only be made or assembled by a small group of highly specialized employees.

More likely that some components are hard to make or source which creates a bottleneck for production.
Both cases you describe there are the same issue.

Or they can't be bothered to speed things up since they have no competition.
Sorry, that's pure nonsense. Not every issue should be explained away with "they have no competition". ASML has a huge backlog, faster turnaround time would directly lead to much higher profit for them. The bottleneck is very likely a lack of highly specialized workers needed.
 
Jul 27, 2020
17,967
11,709
116
ASML CEO: “We’ve structurally underestimated growth in the industry” – Bits&Chips (bits-chips.nl)

Challenged by an analyst how ASML could be so sure the increase in demand will be sustained, Wennink answered that everything is “happening at a speed that we completely misjudged.” Yes, there’s a shortage and that will take time to resolve, but underneath, there’s also a very real growth trend, he said. “So we’ll build that capacity.”

Their estimation sucks. I think the major chipmakers are themselves to blame for letting one company become a roadblock in their progress.
 

Ajay

Lifer
Jan 8, 2001
16,094
8,106
136
Both cases you describe there are the same issue.


Sorry, that's pure nonsense. Not every issue should be explained away with "they have no competition". ASML has a huge backlog, faster turnaround time would directly lead to much higher profit for them. The bottleneck is very likely a lack of highly specialized workers needed.
And down stream supplier output limitations. Like the EUV optics from Carl-Ziess. They need to reduce their cycle times as well. Making nearly perfect EUV optical systems that have to handle high output EUV lasers - tough stuff to say the least.
 
Reactions: lightmanek

LightningZ71

Golden Member
Mar 10, 2017
1,661
1,945
136
I think that I read somewhere that ASML has some critical intellectual property that is absolutely critical to the production of those sorts of machines in addition to having some of the only equipment in the world that can assemble the various modules in any sort of volume.

And, in addition, who would even try to compete, save for a government actor? EUV will be at its limits in less than a decade, probably sooner, and the chips that are leading edge will be as valuable as 22nm designs are today. No one will pay the massive prices for EUV equipment to justify any commercial operator attempting to replicate what ASML has. The expense would never be paid off.
 

moinmoin

Diamond Member
Jun 1, 2017
4,994
7,765
136
Regarding ASML having no competition, the three remaining leading edge foundries actively supported ASML reaching that state by heavily investing in them back in 2012. Intel invested $4.1 billion for 15% shares back then, subsequently TSMC bought 5% and Samsung 3%. All of them made a killing selling those shares again at a later point.

Their estimation sucks. I think the major chipmakers are themselves to blame for letting one company become a roadblock in their progress.
Every lack of supply is very likely due to wrong estimations. The big question is what caused the wrong estimations to begin with.

In EUV's case it's very likely its mixed success in implementation. Intel kicked the EUV stone down the road until it lost process leadership and is now betting on its successor High-NA EUV as a fresh start, Samsung while not as obviously troubled still hasn't shown to really have a handle on EUV. It's only TSMC which makes great use of EUV which makes it the go-to foundry, leading to high demands of its service, again leading to high demand for even more EUV units.
 
Last edited:

Doug S

Platinum Member
Feb 8, 2020
2,498
4,072
136
ASML CEO: “We’ve structurally underestimated growth in the industry” – Bits&Chips (bits-chips.nl)



Their estimation sucks. I think the major chipmakers are themselves to blame for letting one company become a roadblock in their progress.


They are lucky someone was willing to invest in EUV, and that basically only happened because several foundries took a stake in ASML to provide them with the necessary funding. A lot of very knowledgeable people believed EUV would never be viable, and it took many years longer than its proponents envisioned before it became capable of reaching production volumes.

Compare it with 450mm wafers - over a decade ago there was talk about switching to 450mm wafers, but few of the companies that make fab equipment were willing to make the necessary investment. In this case it wouldn't be just ASML but every company (there are more than a few) that produces any equipment that handles wafers would need to make the investments to make 450mm wafers happen. Problem was, the efficiencies would be worth it only for a half dozen or so companies operating at the necessary volumes so they would have to produce special versions of their equipment to handle 450mm wafers but have a fraction of the customer base compared to their 300mm and 200mm based equipment. So despite companies like Intel and Micron REALLY wanting 450mm wafers, they never happened.

If the foundries wanted a second source for EUV they would have had to fund that second source up front like they did with ASML, and hope one didn't get out to such a big lead that the other couldn't compete. They didn't, because it would cost twice as much to do so - and perhaps they feared their competition might be the beneficiary of that extra supply.

Right now we have only three foundries pursuing lead edge design (I'm still counting Intel, we'll see how long they stay in the game - they will have to become a legitimate foundry or they simply won't have the volume to keep up) and one of them has a pretty significant lead on the other two as far as number of leading edge wafers manufactured at each generation. It is quite possible in a decade we could have only one left standing that still pursues the leading edge. Then I imagine you'll say "the major fabless designers are themselves to blame for letting one company become a roadblock".

While you wouldn't be wrong, if you (and Apple, Qualcomm, AMD, Intel, etc.) think this matters, the time to do something about it is now. Arguably Qualcomm is by patronizing Samsung's fab, though that may be more due to lower cost and better availability and may not be simply because they want to financially support them to keep them around. Intel is shooting themselves in the foot buying leading edge TSMC capacity, though at this point they may have no choice - but if they are still behind a few years from now and have got into the habit of buying leading edge share from TSMC for fear of losing market share to AMD it may become a self fulfilling cycle after a while.
 

Mopetar

Diamond Member
Jan 31, 2011
8,010
6,454
136
I think Intel buying TSMC is more to stop AMD from having it. The latest Intel release shows they can still compete. I don't doubt that AMD will top them with Zen 4 when it releases, but I see the two trading blows going forward. Intel won't lose badly, but the won't win easily either. In that situation it's best to limit the competition in other ways. Even if they were to lose badly it wouldn't be any more than what AMD can produce.

If anything Intel's 10 nm woes give me some hope. There will at some point be another wall, but this time the whole industry will hit it. However it's fairly clear that a lot of extra performance can be wrung out of an existing process if that becomes required.
 

Doug S

Platinum Member
Feb 8, 2020
2,498
4,072
136
If anything Intel's 10 nm woes give me some hope. There will at some point be another wall, but this time the whole industry will hit it. However it's fairly clear that a lot of extra performance can be wrung out of an existing process if that becomes required.


There's never been a time where the whole industry hit a wall, so I see no reason to expect that in the future. If one company hits a wall their chances of recovery and catching up become more dim with each cycle because missing out on that juicy early depreciation really hits the bottom line. I expect either Intel or Samsung to fall out of the race before the end of the decade, then we'll see what happens when it is down to just two.
 

Ajay

Lifer
Jan 8, 2001
16,094
8,106
136
There's never been a time where the whole industry hit a wall, so I see no reason to expect that in the future. If one company hits a wall their chances of recovery and catching up become more dim with each cycle because missing out on that juicy early depreciation really hits the bottom line. I expect either Intel or Samsung to fall out of the race before the end of the decade, then we'll see what happens when it is down to just two.
That's why Gelsinger is in a panic running around the world trying to convince any leader/policy maker, etc., that Intel MUST survive. I think Samsung is worse off as they don't have that solid x86 volume, but I think their government is willing to throw allot more dollars their way than the US is willing to give Intel. As you point out, both need to attract more high volume customers to stay in the game. It will be interesting to watch, but sad when one ultimately drops out of the race. Both could linger on longer, out of 'National Security Needs' (US) and national pride (Korean).
 

CakeMonster

Golden Member
Nov 22, 2012
1,428
535
136
I expect either Intel or Samsung to fall out of the race before the end of the decade, then we'll see what happens when it is down to just two.
What's the reasoning? Just probability since this is complex? Or because of the competition situation not allowing room for more? In the latter case it seems to me that demand for chips is ever increasing.
 

dullard

Elite Member
May 21, 2001
25,214
3,627
126
What's the reasoning? Just probability since this is complex? Or because of the competition situation not allowing room for more? In the latter case it seems to me that demand for chips is ever increasing.
Cost. Cutting edge chip fabrication plants have been dropping like flies as the costs just keep going up.

Suppose the price listed above is correct: $140 million per EUV machine (plus you'd need to spend a lot more for the building and employees). Intel has maybe a dozen of them and that is no where near enough for Intel to use it for all production. TSMC is buying 30+ of these a year. To match TSMC's buying spree, you'd need to spend $4.2 billion/year. And you'd be starting way behind TSMC that will have over 100 of them by the time you got your first shipment. Then it takes months or years to get it up and running well. Not many companies are interested in spending tens of billions of dollars over multiple years before turning a profit. And that is if you could even get onto the list to buy the machines. That waiting list is years long.

Then if you want to beat that, you need a high-NA EUV machine that is running maybe $300 million each.
Sure the demand is there now. But can you guarantee to your investors that the demand will be there many years from now when you are online?
 
Last edited:

Ajay

Lifer
Jan 8, 2001
16,094
8,106
136
Sure the demand is there now. But can you guarantee to your investors that the demand will be there many years from now when you are online?
TSMC can, their track record has been excellent, both technology wise and in wining extremely lucrative contracts. The problem is that it's a much harder sell for Intel & Samsung. Failing to deliver on time and on spec, unable to significantly expand their customer portfolios. Samsung blew wrt Apple - such a massive hit for them. I mean, Samsung puts allot of Qualcomm SoCs in their phones - real confidence builder.
 

dullard

Elite Member
May 21, 2001
25,214
3,627
126
TSMC can, their track record has been excellent, both technology wise and in wining extremely lucrative contracts. The problem is that it's a much harder sell for Intel & Samsung. Failing to deliver on time and on spec, unable to significantly expand their customer portfolios. Samsung blew wrt Apple - such a massive hit for them. I mean, Samsung puts allot of Qualcomm SoCs in their phones - real confidence builder.
Yes, TSMC can. But that just reinforces the smaller and smaller group of cutting edge companies. The real question is can anyone not named in your post do so?
 

Doug S

Platinum Member
Feb 8, 2020
2,498
4,072
136
What's the reasoning? Just probability since this is complex? Or because of the competition situation not allowing room for more? In the latter case it seems to me that demand for chips is ever increasing.

Demand for chips has been increasing since I was born, but the number of leading edge fabs has fallen every decade because every process is more expensive than the last to deploy.

There are economies of scale for e.g. TSMC building capacity for 200k wafer starts versus TSMC building capacity for 125k wafer starts and someone else building capacity for 75k wafer starts.
 

Ajay

Lifer
Jan 8, 2001
16,094
8,106
136
Yes, TSMC can. But that just reinforces the smaller and smaller group of cutting edge companies. The real question is can anyone not named in your post do so?
China is trying very hard and the government is putting in big bucks as well. Problem for them is that China has become so corrupt that the vast majority of efforts are just cons that fail.
Despite their excellent industrial espionage efforts, developing workers with the necessary skill to build out their own EUV machines and the downstream supply requirements is much, much harder than just having good blueprints.
 
Reactions: Tlh97

dullard

Elite Member
May 21, 2001
25,214
3,627
126
China is trying very hard and the government is putting in big bucks as well. Problem for them is that China has become so corrupt that the vast majority of efforts are just cons that fail.
Despite their excellent industrial espionage efforts, developing workers with the necessary skill to build out their own EUV machines and the downstream supply requirements is much, much harder than just having good blueprints.
Its a lot easier for China just to take Taiwan, which they seem to be wanting to do. Then they'd have all the equipment, engineers, and chip designs they could need.
 
Reactions: lightmanek

Ajay

Lifer
Jan 8, 2001
16,094
8,106
136
Its a lot easier for China just to take Taiwan, which they seem to be wanting to do. Then they'd have all the equipment, engineers, and chip designs they could need.
No, it is not *easier*. Not for by a long shot. The entire western world and friends would sanction their butts to the ends of the universe. Assuming we don't go to war over it.
 

Doug S

Platinum Member
Feb 8, 2020
2,498
4,072
136
Its a lot easier for China just to take Taiwan, which they seem to be wanting to do. Then they'd have all the equipment, engineers, and chip designs they could need.

The equipment is useless without support from ASML. They would not gain the ability to fab leading edge chips if they took over Taiwan, even if it were possible to do with any fighting or sabotage which seems rather unlikely.
 

Khato

Golden Member
Jul 15, 2001
1,225
280
136
That's why Gelsinger is in a panic running around the world trying to convince any leader/policy maker, etc., that Intel MUST survive. I think Samsung is worse off as they don't have that solid x86 volume, but I think their government is willing to throw allot more dollars their way than the US is willing to give Intel. As you point out, both need to attract more high volume customers to stay in the game. It will be interesting to watch, but sad when one ultimately drops out of the race. Both could linger on longer, out of 'National Security Needs' (US) and national pride (Korean).
I'm not sure I'd classify Gelsinger's actions as panicked. It just might seem that way because we're unused to Intel having a competent CEO. Clearly Intel doesn't -need- the money in order to execute their plans seeing as how they still make more than TSMC. But why spend the company's money if the political environment means you can spend government money instead? Exact same approach that TSMC and Samsung take, we just normally don't hear as much about it because it's mostly been in their respective home countries.

I remain somewhat surprised that Samsung is continuing to pour money into their foundry ambitions. Checking their Q3 2021 earnings, the Semiconductor segment looks good at first glance with $22.3B in revenue... but $17.6B of that is on their memory side leaving 'only' $4.7B for foundry and LSI. Clearly it's still a fair amount of revenue, but still less than 1/3 of TSMC and Intel. My impression here has always been than Samsung is shifting investment to the foundry side to try and avoid the 'feast or famine' cycles of the memory business.
 
Jul 27, 2020
17,967
11,709
116
The first time I read about a fabrication plant was when it used to cost $1 or $2 billion and AMD had gone fabless and everyone said their days were numbered. At that time, few could have predicted that AMD was doing the right thing. Funnily, it took Intel's bungling of their process leadership to prove that AMD went in the right direction. It would be a very different world today if Intel had stayed on course and Alder Lake were on 7nm.
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |