Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 147 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
686
576
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E08 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Arrow Lake Refresh (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXDesktop OnlyMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2025 ?Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E8P + 32E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ??8 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)

 

Attachments

  • PantherLake.png
    283.5 KB · Views: 23,984
  • LNL.png
    881.8 KB · Views: 25,456
Last edited:

Goop_reformed

Senior member
Sep 23, 2023
249
308
96
The N3B is not the best node. And SRAM scaling is very low there. Intel could use either one of the nodes I mentioned, even if it is a cost of a percentage decrease in performance or power.

Capacity (especially EUV capacity) may be an issue Intel is facing, but TSMC slowed down delivery of some equipment, so Intel could grab some of that, if the capacity was the issue.

Or, it could be (as Hillary Clinton famously said) a "public" position on an issue and "private" position.

Public being the one Gelsinger uses as a pep-talk (to customers, Wall Street, employees) and private position is what Intel really believes about readiness and competitiveness of the new nodes.
>Public being the one Gelsinger uses as a pep-talk (to customers, Wall Street, employees) and private position is what Intel really believes about readiness and competitiveness of the new nodes.

I agree, Intel would definitely fab granites at TSMC if foundry business is a separate entity.
 
Reactions: Tlh97 and Joe NYC

FlameTail

Diamond Member
Dec 15, 2021
3,209
1,847
106
The difference between N4P and N3B/N3E is small. I can’t find TSMC marketing material that compares N4P vs N3B or N3E directly. It’s like ~5-8% performance improvement with better density. If Intel 3 matches or exceeds N4P performance then practically speaking it’s comparable.

Even factoring in sram density assuming that Intel 3 has no improvements over Intel 4 sram cells then it’s 0.0240 vs 0.0210 (N3E) - it’s not that far off.
 
Reactions: Tlh97 and Joe NYC

H433x0n

Golden Member
Mar 15, 2023
1,074
1,281
96
That’s first generation N5.

If you compare N4P vs N5 (Gen 1) you get +11% better perf isopower. That same comparison with N3B is 10-15% better perf at isopower which is nearly identical performance. The comparison with N3E gets +18% better perf at isopower which puts N3E ahead of N4P by 7%.

Generally speaking the performance improvement N3 provides over the latest/greatest N4P is <10%.
 
Jul 27, 2020
18,021
11,751
116
So ARL is supposed to be 20A and they are using N3B for Lunar Lake? That doesnt make any sense, and seems like a big blow to the foundry aspirations.
Maybe coz 20A isn't meeting their power efficiency targets? Also, they probably decided to save time and go with a proven power efficient node rather than try to make their frequency optimized node consume less power.
 

FlameTail

Diamond Member
Dec 15, 2021
3,209
1,847
106
Maybe coz 20A isn't meeting their power efficiency targets? Also, they probably decided to save time and go with a proven power efficient node rather than try to make their frequency optimized node consume less power.
Yeah I have thought about this. Intel nodes are good at reaching high performance levels, but ghjkfdghji at low performance efficiency.

I guess that's why Qualcomm ditched their plans with IFS.
 

DrMrLordX

Lifer
Apr 27, 2000
21,813
11,168
136
What happened to 5 nodes in 4 years?

It's easy if it's all the same node (more or less), or maybe two nodes.

So ARL is supposed to be 20A and they are using N3B for Lunar Lake? That doesnt make any sense, and seems like a big blow to the foundry aspirations.

20a isn't one of Intel's foundry nodes. And all indications are that Intel 3 is going to wind up being niche like 22FFL and Intel 16 (as a foundry node). 18a is the proving ground for IFS.

Also now that we have at least semi-confirmation that Intel is still taking N3B wafers, we may also see Arrow Lake compute tiles on TSMC N3B.
 

dullard

Elite Member
May 21, 2001
25,214
3,632
126
I'm confused as to why Intel is using TSMC for the compute tile. I very much expected graphics and/or I/O dies to be made by TSMC. I don't get how people are spinning this as being just fine. What happened to 5 nodes in 4 years?
Intel has been saying external nodes will be used. They have been saying that for years. Yes, even compute tiles could be external. Intel has moved to node agnostic designs that can be fabbed elsewhere. Remember when this decision was made, (1) there was a major chip shortage with Intel running at full capacity, (2) the 20A/18A nodes were not yet proven, and (3) Intel was falling further and further behind TSMC. So, Intel took the conservative approach to solve problems #1, #2, and #3 by using external fabs.

Node 1) Intel 7, Alder Lake/Raptor Lake 2021 to 2024
Node 2) Intel 4, Meteor Lake 2023 (Barely, most in 2024)
Node 3) Intel 3, Granite Rapids 2024. Synopsys is an Intel 3 foundry customer: https://www.forbes.com/sites/tirias...l-for-intel-foundry-services/?sh=2efac6a5fa8b
Node 4) Intel 20A, Arrow Lake 2024 (Probably)
TSMC, Lunar Lake
Node 5) Intel 18A, Panther Lake 2025 (goal), Clearwater Forest, many external customers. This is where Intel thinks they'll finally have leadership chips. Meaning Intel will be behind TSMC's capabilities until 2025.

Looks like using 5 different Intel nodes in 3 years to me is a distinct possibility (2023, 2024, 2025). That is true even with using TSMC.
 
Last edited:

Khato

Golden Member
Jul 15, 2001
1,225
281
136
Remember when this decision was made, (1) there was a major chip shortage with Intel running at full capacity, (2) the 20A/18A nodes were not yet proven, and (3) Intel was falling further and further behind TSMC. So, Intel took the conservative approach to solve problems #1, #2, and #3 by using external fabs.
Indeed, 2-3 years ago when the decision was made to use N3B Intel may not have had high confidence in 20A/18A.
 

dullard

Elite Member
May 21, 2001
25,214
3,632
126
Intel is getting 5 nodes in 4 years cause they are counting TSMC's nodes too.
Really? You had to post thus just after I listed the nodes?
1) Intel 7
2) Intel 4
3) Intel 3
4) Intel 20A
5) TSMC N3
6) Intel 18A

For some reason, my schooling said that 6 is more than 5.

You could make a valid argument that Intel 3 and Intel 18A are only half node steps and that really only makes 3 full nodes. But that isn't the argument you chose to make.
 
Last edited:

poke01

Golden Member
Mar 8, 2022
1,457
1,683
106
Cores are the same as ARL I'm pretty sure.

LNL is a genuinely very interesting product though, and that's because of everything else.
It will be the first Intel product that will be powerful in a fanless laptop. The past ones were crap and underpowered.

Those Memory modules being on package will benefit handhelds and laptops. Especially with 8000+ MT/s.
 
Reactions: Tlh97 and mikk

Abwx

Lifer
Apr 2, 2011
11,172
3,869
136
Meteor Lake – GPU Architecture


Dunno for the actual power used for thoses tests but if frequency is to be increased by 50% and exe units by 33% then the process should provide roughly 0.5x lower power to be at same powers than previous 96EUs iGPUs, that s 100% better perf/Watt at isofrequency and quite doubtfull.

Indeed first models with a battery as big as 68Wh for a mere 14" laptop are not indicative of huge efficency improvements, guess that we re good for another big round of deceptive TDPs, an habit at Intel.

 
Reactions: Tlh97
Jul 27, 2020
18,021
11,751
116
Reactions: Tlh97 and Saylick

tamz_msc

Diamond Member
Jan 5, 2017
3,865
3,729
136
Indeed first models with a battery as big as 68Wh for a mere 14" laptop are not indicative of huge efficency improvements, guess that we re good for another big round of deceptive TDPs, an habit at Intel.
Ok so going by your logic Apple silicon MacBook pros with 99 Wh batteries are inefficient as well.

And deceptive TDPs? Please show me where AMD lists the short duration power limits in their product description webpages.
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |