Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 201 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
686
576
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E08 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Arrow Lake Refresh (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXDesktop OnlyMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2025 ?Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E8P + 32E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ??8 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)

 

Attachments

  • PantherLake.png
    283.5 KB · Views: 23,983
  • LNL.png
    881.8 KB · Views: 25,455
Last edited:

SiliconFly

Golden Member
Mar 10, 2023
1,205
620
96
Why would I trust Intel performance leaks? Even if they target a 30% st uplift and that gets leaked their track record is so poor that I wouldn't believe they could achieve it or maybe they do but it is a specific workload or it comes coupled with a significant clock regression.
Why would anybody trust any performance leaks from any company? A GB or CB score gives us a good indication of how performant LNC might actually be compared to previous gen or competition.
 

mikk

Diamond Member
May 15, 2012
4,172
2,210
136
Yeah, I shouldn't have to dig through a website in another language to find out it's a translation of an older article. I am glad that you were able to spot that, but to suggest I didn't read the article carefully enough because I didn't go looking weeks back through a Swedish website is ridiculous.


You made a claim ouf of thin air, you definitely should do better than this. It cost me a couple of seconds to open the swedish original page and noticing it's old. If you can't do the same maybe stop making baseless claims.
 

Hitman928

Diamond Member
Apr 15, 2012
5,593
8,770
136
You made a claim ouf of thin air, you definitely should do better than this. It cost me a couple of seconds to open the swedish original page and noticing it's old. If you can't do the same maybe stop making baseless claims.

I assumed they followed industry standard practices for reporting (or at least what used to be the standard) which led me to believe it was a retail unit, my bad.
 
Last edited:

adroc_thurston

Diamond Member
Jul 2, 2023
3,319
4,788
96
Even now they are in such a dominant position that they have the capability to make Radeon dGPUs go extinct.
This is horrible levels of optimism to have mere seconds after your holy bajeesus wet itself to MI300X lmao.
You should probably have read what I wrote under the chart.
Bingo, revenue share is all that matters.
Selling units is easy. Making money off them is hard.
 

Saylick

Diamond Member
Sep 10, 2012
3,385
7,150
136
The leaks say,

ARL = 8P+16E in 2024.
ARL-R = 8P+32E in 2025
Bleh, more E core spam.

Reminds me of when Intel was caught on the back foot with rising core counts and all Intel could do was release Skylake SKUs with 2 more cores each generation for like 3 generations in a row (Coffee Lake, Coffee Lake Refresh, Comet Lake).

This sort of feels the same way for me in that their P cores are stagnating but you have to match Zen 5 in some benchmarks to be competitive, so might as well aim for MT perf without blowing up the die cost.
 
Reactions: Tlh97 and MangoX

TESKATLIPOKA

Platinum Member
May 1, 2020
2,423
2,914
136
Also, I remember one leak mentioning RPL-R-R (not kidding). ARL desktop will win in efficiency for sure, but may not offer high performance. Bad for Intel.
There is no such a thing as "will win in efficiency for sure", that's just what you want to happen.
But on the mobile front, ARL might comfortably beat the competition in efficiency by a wide margin. Might go toe-to-toe with Apple Silicon easily. On the other hand, mobile performance is still hard to speculate as actual LNC performance is still under wraps.
And that efficiency is during light load, right? I care more about efficiency during full load, than light load where difference is 1-2W at best.
Too soon to say. We need to wait a bit until LNC performance leaks to start appearing. If it offers a 30% ST uplift like some leaks suggest, then they'll be back in the game. Might even become very competitive. Hard to say until we get real performance numbers.
What leaks suggest 30% 1T uplift?
 

TESKATLIPOKA

Platinum Member
May 1, 2020
2,423
2,914
136
Bleh, more E core spam.

Reminds me of when Intel was caught on the back foot with rising core counts and all Intel could do was release Skylake SKUs with 2 more cores each generation for like 3 generations in a row (Coffee Lake, Coffee Lake Refresh, Comet Lake).

This sort of feels the same way for me in that their P cores are stagnating but you have to match Zen 5 in some benchmarks to be competitive, so might as well aim for MT perf without blowing up the die cost.
But Intel got rid of HT, so even If they release 8P+32E that's still 40 threads vs 32 threads for Zen5.
What is worse is that AMD stagnates with core count and from Zen2 to Zen5 we are still limited to only 16 cores for desktop.
 

FlameTail

Diamond Member
Dec 15, 2021
3,151
1,800
106
This is horrible levels of optimism to have mere seconds after your holy bajeesus wet itself to MI300X lmao.
Wow. You and some other people here are giving me Game of Thrones' vibes. You all belong to a faction or other : Intel / AMD / Nvidia. Constantly at a war of words, and other subtle maneuvers. The great irony is that- in the end no one wins the Iron throne.

PS: This comment should not be taken seriously.
 
Last edited:

FlameTail

Diamond Member
Dec 15, 2021
3,151
1,800
106
But Intel got rid of HT, so even If they release 8P+32E that's still 40 threads vs 32 threads for Zen5.
What is worse is that AMD stagnates with core count and from Zen2 to Zen5 we are still limited to only 16 cores for desktop.
That 24-core Zen part for desktop keeps getting leaked and leaked, but never coming really, like how graphene batteries still haven't left the lab.
 

H433x0n

Golden Member
Mar 15, 2023
1,066
1,247
96
I don't think ARL is about performance. I have a feeling it'll be more like MTL in performance. But I think it's gonna be very efficient.
I can already tell you where LNC will end up… it’s going to be 18-20% IPC increase. That’s the typical generational target by Intel.

Cypress Cove -> Golden Cove +19%

There’s a small chance (imo a very small chance) that the uplift will be similar to Skylake -> Golden Cove but I wouldn’t count on it.

Skylake -> Cypress Cove -> Golden Cove +28%.

Based on leaked Intel slides I’m expecting an 18-20% IPC increase with a 500-800mhz frequency regression and that gets us to a +5% ST performance uplift. If it manages to reach 5.4ghz boost clocks it will be an okay generation. If you look at IgorsLab leak you’ll see the real world ST performance increase is ~10-12% with WebXprt & Geekbench projections.
 

FlameTail

Diamond Member
Dec 15, 2021
3,151
1,800
106
Based on leaked Intel slides I’m expecting an 18-20% IPC increase with a 500-800mhz frequency regression and that gets us to a +5% ST performance uplift.
5% ST uplift feels depressing.

Especially if the rumour that there will be an ARL-Refresh pans out- then they will be using LNC for 2 generations.

So LNC will not only get dunked on by Zen 5. but also Zen 6.
 

Timorous

Golden Member
Oct 27, 2008
1,727
3,152
136

Only?

Bingo, revenue share is all that matters.
Selling units is easy. Making money off them is hard.

I dunno, there was a time AMD couldn't even give server chips away...

If ARL only manages a 10% ST performance increase over RPL-R I don't see it being competitive unless it is a lot cheaper and if it is a lot cheaper the margin is going to be awful given the die sizes and advanced packaging. From a product pov it might be okay enough but from a business standpoint it is a continuation of a downward spiral.

Given the cost of new nodes PPA is critical and that is a key area Intel are miles behind in and they need to turn that around asap.
 

Saylick

Diamond Member
Sep 10, 2012
3,385
7,150
136
Very important question: 10-12% ST uplift compared to what? 14900K? 13900KS?
Aren't those basically the same performance? They both have a 6 GHz ST turbo.
But Intel got rid of HT, so even If they release 8P+32E that's still 40 threads vs 32 threads for Zen5.
What is worse is that AMD stagnates with core count and from Zen2 to Zen5 we are still limited to only 16 cores for desktop.
Ehhh, those extra 8 E cores is going to cater to such a small portion of the market in my opinion. If you're the type of user who really needs 8 more E cores, I think you're better suited for another product lineup, i.e. Xeon or EPYC.
And that efficiency is during light load, right? I care more about efficiency during full load, than light load where difference is 1-2W at best.
Yeah, I agree with perf/W under load is far more important. I bet Intel would admit the same if they actually were competitive in that metric, but since they aren't they will beat a different drum. Think about it: if Intel had competitive perf/W under load, it would mean Xeon is competitive with EPYC. But we know that's not the case. Bragging about perf/W under light workloads is, in my opinion, one of the few remaining straws to grasp if you're Intel marketing. If that's not competitive, what's next? Bragging about perf/W under idle situations? GTFO of here.
 

H433x0n

Golden Member
Mar 15, 2023
1,066
1,247
96
5% ST uplift feels depressing.

Especially if the rumour that there will be an ARL-Refresh pans out- then they will be using LNC for 2 generations.

So LNC will not only get dunked on by Zen 5. but also Zen 6.
That's 5% in SIR2017, when it gets reviewed it'll appear to be ~10-12% since most reviewers test things like Cinebench, Geekbench, WebXprt, 7zip, etc. If you look at TechPowerUp's test suite it'll do fine and appear to be +10% ST perf increase. It's all relative too, there will be areas where ARL stomps RPL in MT performance at a given power draw (say isopower at 125W-150W). I wouldn't automatically assume the 'refresh' means minimal performance increase.. look at ADL -> RPL for example. If the refresh brings +400mhz clocks it will be fine.

If ARL only manages a 10% ST performance increase over RPL-R I don't see it being competitive unless it is a lot cheaper and if it is a lot cheaper the margin is going to be awful given the die sizes and advanced packaging. From a product pov it might be okay enough but from a business standpoint it is a continuation of a downward spiral.
I don't buy the argument that MTL is uniquely expensive. The cost for advanced packaging is significantly less than if they were to have made the entire ~200mm2 die on Intel 4. We're talking like a dollar or 2 to put together a foveros chip with the failure rate at <1%.
 

Hulk

Diamond Member
Oct 9, 1999
4,372
2,246
136
I can already tell you where LNC will end up… it’s going to be 18-20% IPC increase. That’s the typical generational target by Intel.

Cypress Cove -> Golden Cove +19%

There’s a small chance (imo a very small chance) that the uplift will be similar to Skylake -> Golden Cove but I wouldn’t count on it.

Skylake -> Cypress Cove -> Golden Cove +28%.

Based on leaked Intel slides I’m expecting an 18-20% IPC increase with a 500-800mhz frequency regression and that gets us to a +5% ST performance uplift. If it manages to reach 5.4ghz boost clocks it will be an okay generation. If you look at IgorsLab leak you’ll see the real world ST performance increase is ~10-12% with WebXprt & Geekbench projections.
I think you are correct in expecting up to 20% throughput increase for Arrow Lake but have different opinion on previous generation uplifts in throughput.

I would put Cypress Cove to Golden Cove at about 16.5%
Cypress to Raptor Cove at 19% as you wrote.

Skylake to Golden Cove is about 46% uplift per clock. Of course it depends on the application but I'm considering CB R23 ST.

Now for some speculation.

You are correct in that Arrow Lake needs to not only make up the latency loss in moving to tiles but also the expected clock speed regression. The clock speed regression isn't actually as bad as it may first appear. Most Raptor Refresh parts will only do about 5.4 or 5.5GHz all-core under load before cooling and temps become an issue. Therefore if Intel can only hit 5.4 or 5.5GHz and it has lower power/thermals then this would be an actual clock for MT for these parts. Meaning no clock speed regression outside of anything like CB ST since Raptor parts rarely hit those turbo boost single core frequencies without custom loops or chillers.

Furthermore at the lower part of the stack in the 14600K range they only need hit 5.3Ghz for ARW to achieve parity with RPL-R.

So what does all this mean? I think best case is ARL ends up having 15% better throughput than Raptor and most of that will translate into MT performance, especially considering Crestmont is supposedly improved over Gracemont.

ST benchmarks will suffer for ARL compared to Raptor but they will be meaningless in real world usage cases because most "single threaded"" applications will still utilize 3 or 4 cores thus stopping super high turbo boosting of Raptor anyway. When we talk about ST performance these days it's more about applications using 3 or 4 cores heavily and then then utilizing some other cores for other things not directly related to the foreground application.

Finally, the one ace Intel has up its sleeve is the area efficient E cores. In order to pump up the MT benchmarks all they need to do is add another E cluster.

Arrow Lake and future Intel CPUs in my opinion are going to live or die based on the performance of those P cores and how they compare to AMD's equivalent core.
 
Last edited:

Hulk

Diamond Member
Oct 9, 1999
4,372
2,246
136
ST performance gains are getting hard to come by.
Looking at CB R23ST...

Zen to Zen 2, 17%
Zen 3 to Zen 3, 11%
Zen 3 to Zen 4, 10%

Since Skylake Intel has two new architectures, Cypress Cove, and Golden/Raptor Cove.

End results? Again, just considering CB R23ST Zen and Skylake were about equal just as Raptor and Zen 4 are about equal. Intel got from there to here in 2 steps, AMD in 3.

I think a lot of people around here are going to be disappointed by Zen 4 and Arrow Lake in terms of ST performance if they are expecting a throughput increase over about 18% and even that would be monumental.

I realize that Zen 5, unlike Zen 4 is a major architectural change, as it ARL so perhaps a rabbit will be pulled out of the hat! I hope so.
 

Khato

Golden Member
Jul 15, 2001
1,225
280
136
ARW (Arctic Wolf) is the abbreviation for the next e-core after DKT (Darkmont.) Makes for an amusing typo thanks to that.

It easily could be the case that Zen5 will end up with a ST advantage over ARL. What would it matter if it does? Main point of interest to me is how the two will compare in terms of efficiency as that's where Intel has fallen behind. While such isn't as important in the client space such will provide an indicator of how the corresponding server products might compare.
 

adroc_thurston

Diamond Member
Jul 2, 2023
3,319
4,788
96
Wow. You and some other people here are giving me Game of Thrones' vibes. You all belong to a faction or other : Intel / AMD / Nvidia. Constantly at a war of words, and other subtle maneuvers. The great irony is that- in the end no one wins the Iron throne.
Well I'm a literal $AMD shareholder.

I dunno, there was a time AMD couldn't even give server chips away.
Not really, they just canned their server biz altogether.
Naples was basically startup mode, kek.
And yeah, everything Intel since Romley (Sandy-EP) was just miles better.
 

Abwx

Lifer
Apr 2, 2011
11,166
3,862
136
Not really, they just canned their server biz altogether.
Naples was basically startup mode, kek.
And yeah, everything Intel since Romley (Sandy-EP) was just miles better.

You dont understand what he s saying..

At the time when AMD released the Opteron 64 that has superior perfs they offered 200k chips for free to HP to initiate a market presence, HP answered them that they could not accept the offer for fear that Intel would severly limit their chips allocation as a coercitive retaliation...
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |