Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 32 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
689
591
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E08 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ?8 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)

 

Attachments

  • PantherLake.png
    283.5 KB · Views: 23,991
  • LNL.png
    881.8 KB · Views: 25,464
Last edited:

Tigerick

Senior member
Apr 1, 2022
689
591
106
To me, Intel 7 essential similar like 10nm, same density just bigger die size.

By the end of 2025, we will see how many nodes of CPU Intel can produce. Out of 4 nodes, I am betting two nodes
 
Reactions: ftt and Joe NYC

Exist50

Platinum Member
Aug 18, 2016
2,452
3,102
136
So it seems, as I have argued before, the MTL base tile may indeed not be passive, which makes sense given it’s a Foveros based design. Its a huge piece of silicon; it would be a wasted opportunity if it’s just being used as an interposer..
An active base die would cost significantly more than a passive one. If Intel does offer such a product for MTL/ARL, it would likely be a premium SKU only.
 

ashFTW

Senior member
Sep 21, 2020
312
235
96
An active base die would cost significantly more than a passive one. If Intel does offer such a product for MTL/ARL, it would likely be a premium SKU only.
Maybe. But putting SRAM on the base die with very repetitive structure, on a very mature 22FFL process will yield very high. FDI (Foveros Die Interconnect) absolutely requires active circuits. I would rather put (most, if not all of) these on the base die and not on all the top die, in line with the separation of concerns design principle. That way you have smaller top die, and you make use of the ample space available on the base die.

This system level cache may actually be an integral part of the MTL/ARL SOC design; premium SKUs of course are likely to have relatively larger caches.

Edit: Also take a look at this Intel slide from last Hot Chips. Does it say “passive base die” to you?

 
Last edited:
Reactions: scineram

ashFTW

Senior member
Sep 21, 2020
312
235
96
Yes, there are microbumps connecting each of those two active dies to each other through the base die. In that diagram, the only thing that represents the base die is the purple wires.
Ok, that could make sense. But that’s EMIB territory. Don’t need a massive base die for it, and have all the added complexity of passing power to the top die through the base die.
 

Exist50

Platinum Member
Aug 18, 2016
2,452
3,102
136
Ok, that could make sense. But that’s EMIB territory. Don’t need a massive base die for it, and have all the added complexity of passing power to the top die through the base die.
EMIB has a larger bump pitch than Foveros. In theory, ODI could be a solution, but that doesn't seem to be happening for MTL.
 

ashFTW

Senior member
Sep 21, 2020
312
235
96
EMIB has a larger bump pitch than Foveros. In theory, ODI could be a solution, but that doesn't seem to be happening for MTL.
ODI would make sense if there are different sized top die reusing the same base die, which architecturally makes sense and something I have suggested before. It may happen in the future reusing the same base die. In any case, power still needs to be passed though the base die for the regions where the top and bottom die overlap.

I also realize that EMIB wouldn’t work for communicating between non adjacent die.

The top die have half of the FDI “contract”, which could communicate with the base die containing some sort of die interconnect fabric, or simply directly connect with another die with the other half of the contract, as suggested by the “purple wires” in the diagram. So designs with varying complexity are possible, with top die reuse.
 
Last edited:
Reactions: Joe NYC

Exist50

Platinum Member
Aug 18, 2016
2,452
3,102
136
ODI would make sense if there are different sized top die reusing the same base die, which architecturally makes sense and something I have suggested before. It may happen in the future reusing the same base die. In any case, power will still needs to pass though the base die for the regions where the top and bottom die overlap.

I also realize that EMIB wouldn’t work for communicating between non adjacent die.

The top die have half of the FDI “contract”, which could communicate with the base die containing some sort of die interconnect fabric, or simply directly connect with another die with the other half of the contract, as suggested by the “purple wires” in the diagram. So designs with varying complexity are possible, with top die reuse.
ODI has a more Foveros-like dump pitch, which would be the key advantage for a design like MTL. And while in theory, a single base die could allow for some interesting topologies, in MTL, dies only communicate with their adjacents.
 
Reactions: BorisTheBlade82

ashFTW

Senior member
Sep 21, 2020
312
235
96
ODI has a more Foveros-like dump pitch, which would be the key advantage for a design like MTL. And while in theory, a single base die could allow for some interesting topologies, in MTL, dies only communicate with their adjacents.
As I understand it, ODI is an extension of base Foveros (it’s called Foveros Omni for a reason) with a smaller bump pitch, due to it being a “3rd generation“ Foveros.

EMIB has a larger bump pitch than Foveros. In theory, ODI could be a solution, but that doesn't seem to be happening for MTL.
According to this article, 1st and 2nd Gen EMIB and Foveros have identical bump pitches of 55 and 45 micro meters respectively. So I’m back to questioning use of Foveros if all communication is between adjacent die only. Unless it’s future proofing for more complex future designs enabling top die reuse.
 
Last edited:

Exist50

Platinum Member
Aug 18, 2016
2,452
3,102
136
As I understand it, ODI is an extension of base Foveros (it’s called Foveros Omni for a reason) with a smaller bump pitch, due to it being a “3rd generation“ Foveros.


According to this article, 1st and 2nd Gen EMIB and Foveros have identical bump pitches of 55 and 45 micro meters respectively. So I’m back to questioning use of Foveros if all communication is between adjacent die only. Unless it’s future proofing for more complex future designs enabling top die reuse.
Meteor Lake Foveros has a 36 micron bump pitch (shows in the Intel diagram on that page). Lakefield was 50 micron. Think the authors just made a mistake/typo.
 

DrMrLordX

Lifer
Apr 27, 2000
21,917
11,414
136
Actually just one node. Intel 4 which they will tweak a bit and call Intel 3. So that's how they get two nodes. Beyond that, I'm not very optimistic.

If they can really deliver on 20a then there's hope for them. It (and 18a) are relatively new to the roadmaps, so Gelsinger and the people he brought in may have an outsized impact upon their development. Intel 4 is looking kind of shaky right now. Don't expect too much from it.
 
Reactions: igor_kavinski

ashFTW

Senior member
Sep 21, 2020
312
235
96
Ok. I’m rewatching the Hot Chips MTL presentation now ..
Ok I do agree the base tile is likely passive in MTL. Here is one of the Intel slides from HC34. Note the “Modularity with active silicon for memory and logic” that leaves the door open for more complex active base tiles.

 

Exist50

Platinum Member
Aug 18, 2016
2,452
3,102
136
If they can really deliver on 20a then there's hope for them. It (and 18a) are relatively new to the roadmaps, so Gelsinger and the people he brought in may have an outsized impact upon their development. Intel 4 is looking kind of shaky right now. Don't expect too much from it.
I think it's worth noting what the particular rumor is as well. The claim is that MTL will be limited volume in '23, right? Well Intel's already kind of hinted that it will start shipping in H2, so these two details seem to align.

It takes about a quarter minimum between Intel/AMD/etc shipping the ships to OEMs and those chips showing up in laptops on shelves, and those are the high priority designs. For large volumes before the holiday shopping season, you'd want to ship in Q2 to give time for slow cargo ship transport to/from Asia. Trying to compress the schedule significantly means paying for (expensive) air cargo, which naturally means only the flagship designs make the cut. So it would be perfectly possible for MTL to enter volume production in Q3 and get a trickle of devices on shelves for Black Friday, with the true volume only coming in '24.
 

DrMrLordX

Lifer
Apr 27, 2000
21,917
11,414
136
I think it's worth noting what the particular rumor is as well. The claim is that MTL will be limited volume in '23, right? Well Intel's already kind of hinted that it will start shipping in H2, so these two details seem to align.

It takes about a quarter minimum between Intel/AMD/etc shipping the ships to OEMs and those chips showing up in laptops on shelves, and those are the high priority designs. For large volumes before the holiday shopping season, you'd want to ship in Q2 to give time for slow cargo ship transport to/from Asia. Trying to compress the schedule significantly means paying for (expensive) air cargo, which naturally means only the flagship designs make the cut. So it would be perfectly possible for MTL to enter volume production in Q3 and get a trickle of devices on shelves for Black Friday, with the true volume only coming in '24.

Sadly that all means that Intel whiffs on the back-to-school crowd. Maybe there isn't as much activity in that vein right now due to over-saturation of the market with product from 2020 and 2021, but it's still a thing.
 

Exist50

Platinum Member
Aug 18, 2016
2,452
3,102
136
Sadly that all means that Intel whiffs on the back-to-school crowd. Maybe there isn't as much activity in that vein right now due to over-saturation of the market with product from 2020 and 2021, but it's still a thing.
Oh yeah, it's a big miss for them either way. A couple units on shelves would be more about marketing than real revenue. I think the last major purchase cycle other than back to school and black friday would be the corporate refresh cycle (usually spring, iirc?), but that's probably shot given "pre-recession" cost cutting.
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |