Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 323 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
686
576
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E08 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Arrow Lake Refresh (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXDesktop OnlyMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2025 ?Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E8P + 32E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ??8 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)

 

Attachments

  • PantherLake.png
    283.5 KB · Views: 23,981
  • LNL.png
    881.8 KB · Views: 25,453
Last edited:

AMDK11

Senior member
Jul 15, 2019
341
235
116
But it is.
The changes in Nehalem were tiny and mostly scale up server relevant.
Now Sandy was a whole different thing altogether.
Nehalem is not Penryn/Conroe. Unless you have your own definition of a new core, but it's just your opinion of what is new and what is not new core.
SandyBridge mainly introduces a physical registry file, but this does not mean that Nehalem with its new predictor and techniques, among others, is the same as Penryn. You have the wrong idea about core architectures.

Nehalem is not the same as Penryn, both physically and logically, even though you only look at the functional diagram and form an opinion about what is new and what is not.
 

DrMrLordX

Lifer
Apr 27, 2000
21,794
11,143
136
Did I walk into the Nehalem thread? I must be a time traveler.
We can turn this into an AI thread instead. Want me to post some more Shakira? Or maybe I can do the Frito Bandito. Or um Bumblebee Man! Aye yi yi! No me gusta!!!

Seriously though there's an unfortunate lack of information on the Lakes right now and it's making people stir crazy. Unless you're talking about Raptor Lake/Raptor Lake Refresh.
 

Ghostsonplanets

Senior member
Mar 1, 2024
540
945
96
- 6 Intel 18A external foundry customers
- >15bn lifetime deals
- On track to become 2nd largest fab by 2030
- 1.0 PDK for 18A this quarter
- Intel 3 currently ramping
- Old internal foundry structure was wasteful and costly. Mostly focused on speed of node transition and capacity rather than cost and efficiency. Bringing external, experienced with foundry industry, people to tune new foundry model to current industry standards.
- Intel Foundry efforts currently mostly successful with HPC customers than Mobile. More flavors of 18A incoming that are more Mobile oriented.
- Customer interest into Intel Advanced packaging. Start of advanced packaging wins later this year and more into 2026.
- Intel products are customer 0 to derisk the node yield curve to external customers.
- Intel Product Team has freedom to choose whatever manufacturing process (external or internal) based on the best PPA. Intel thinks genuine chance for more and more pull-in of external foundry tiles to internal foundry. References Panther Lake and Clearwater Forest as examples.
- 100 billion of revenue by 2030 for Intel Foundry. 15bn from external customers (currently confirmed) and 85bn from Intel (Product Team, MobileEye, Altera).
- Intel 7 is uneconomical while Intel 18A is very economical. Wafer ASP on Intel 18A ~3x over Intel 7.
- Cost per wafer from Intel 7 to Intel 18A doesn't change significantly. Intel 7 is really expensive due to multi-patterning DUV.
- 40M+ AI PCs this year (MTL, LNL and ARL). Forecast 60M+ AI PCs next year (MTL, LNL, ARL and PTL).
- 2/3 of next year client units still not AI PC (RPL, ADL)
- Falcon Shores going to help a lot. Incorporate Gaudí technology. Gaudi competitive from TCO perspective.
- Server more about core growth and ASP per unit. Flattish with rebound in later part of the year. Server market experiencing downturn due to accelerators eating into companies budget for processors.
- Sierra Forest PRQ thus Q2. Granite Rapids PRQ Q3. Emerald/Sapphire Rapids still big part of this year revenue. Sierra and Granite to be a bigger part starting next year. Chance to win back market share next year.
- Server Market market share loss in Cloud. Enterprise still Intel camp.
- Intel 14A not bound to High-NA if not production worthy. Risk mitigation usage of standard EUV if needed.
- Choice of High-NA usage based on TCO, economics and performance.
- GAAFET ahead of industry peers by 2 years. Glass substrates another innovation coming by the end of this decade. More to come
- 500 ISVs working to optimize AI infused applications on PC.
- Bullish on AI PC. More of an ASP driver than unit driver currently. Lunar Lake brings battery life and performance. Enterprise refresh due to end of Windows 10 SLA will also accelerate AI PC adoption and enterprise customers will want to future proof their purchase with AI PC. Intel has strong portfolio and also vPRO enabled AI PC.
- Windows on Arm enables more competition. But Arm is a long running story (10 - 12 years) and only Apple has been able to be successful due to owning the whole software and hardware stack. Intel has strong ecosystem with OEMs and Lunar Lake will also match (or be better) performance and efficiency of Windows on Arm peers by the back half of the year.
- Meteor Lake stronger than expected Q1. Larger than expected part of the revenue. High margin product drove better than expected gross margins.
- Altera IPO gives them freedom and be able to go after parts of the industry they couldn't before.
- Intel has diverse supply chain and there's no impact to core business due to China restrictions of gallium and germanium exports.


 

adroc_thurston

Diamond Member
Jul 2, 2023
3,314
4,782
96
Right. That's way below usual Intel. Specially given it's distributed across 4 AI PC products.

But they do say they still expect 2/3 of client to be non AI PC based next year (RPL, ADL) and AI PC will be more of a ASP driver than Units driver.
This isn't even a quarter of 2025 PC TAM (which is what, ~275m units?).
Either the parts are too pricey to ramp or they counted lost to AMD slots.
 

Joe NYC

Platinum Member
Jun 26, 2021
2,323
2,929
106
Product Release Qualification aka it's ready for launch.
tl;dr SRF q3 launch, GNR q4 launch.

That's what I was wondering about.

Intel was super unclear in the investor call about this making it sound like this was already in past tense, like it already happened (launch).

I wonder if it was deliberate on part of Intel to be confusing / misleading...
 

DrMrLordX

Lifer
Apr 27, 2000
21,794
11,143
136
Product Release Qualification aka it's ready for launch.
tl;dr SRF q3 launch, GNR q4 launch.

That's what I was wondering about.

Intel was super unclear in the investor call about this making it sound like this was already in past tense, like it already happened (launch).

I wonder if it was deliberate on part of Intel to be confusing / misleading...

Wasn't Sierra Forest only going to a few select clients, and wasn't that supposed to happen THIS quarter? Q2 2024? Maybe Intel is making Sierra Forest available to the broader market in Q3?
 

Joe NYC

Platinum Member
Jun 26, 2021
2,323
2,929
106
This isn't even a quarter of 2025 PC TAM (which is what, ~275m units?).
Either the parts are too pricey to ramp or they counted lost to AMD slots.

It seems they can't ramp, given the breakdown of output by node (being still overwhelmingly non-EUV nodes).

And related to that, Intel needs TSMC tiles (or the whole package), and that capacity was either ordered or not...
 
Reactions: carancho

Ghostsonplanets

Senior member
Mar 1, 2024
540
945
96
This isn't even a quarter of 2025 PC TAM (which is what, ~275m units?).
Either the parts are too pricey to ramp or they counted lost to AMD slots.
Oof.

Well, they do leave some room for more given they say 60 million+. Maybe it's just an early visibility into the H1 25 channel and not having complete visibility towards H2. Like what happened with MTL (Higher demand than predicted).

Or maybe they lost some sockets/design wins to AMD, QCOM and Nvidia. Specially in the mainstream/budget segment given how costly their tiled products are. This is an area where AMD (KRK 242) and others (QCOM Purwa?) might have an outsized share of the AI PC TAM pie compared to Intel.
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |