Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 33 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
686
576
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E08 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Arrow Lake Refresh (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXDesktop OnlyMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2025 ?Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E8P + 32E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ??8 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)

 

Attachments

  • PantherLake.png
    283.5 KB · Views: 23,984
  • LNL.png
    881.8 KB · Views: 25,456
Last edited:

Geddagod

Golden Member
Dec 28, 2021
1,250
1,224
106
Interesting slide from MTL/ARL HotChips 34 talk.

I don't think it was anything that new. We knew Intel was striving to disaggregate future products regardless. What I think is more interesting about that is the blurred Lunar Lake. The fact that Intel is keeping the wraps on Lunar Lake makes me think it's either A)simply too far into the future for Intel to be sure what they are going to do or B)a revolutionary product that uses a new mixture of CPU tiles, GPU tiles, AI tiles, SOC tiles, that we haven't seen in MTL and ARL before. I think it's a combination of both.
 
Reactions: ashFTW

ashFTW

Senior member
Sep 21, 2020
312
235
96
I don't think it was anything that new. We knew Intel was striving to disaggregate future products regardless. What I think is more interesting about that is the blurred Lunar Lake. The fact that Intel is keeping the wraps on Lunar Lake makes me think it's either A)simply too far into the future for Intel to be sure what they are going to do or B)a revolutionary product that uses a new mixture of CPU tiles, GPU tiles, AI tiles, SOC tiles, that we haven't seen in MTL and ARL before. I think it's a combination of both.

I agree with your interpretation B, that Lunar Lake will likely integrate further IP blocks, on more advanced processes with active bottom tile(s). It will also likely use Foveros Omni to enable different size top die (especially CPU, GPU) while keeping the same bottom die.

The reason I highlighted this slide is due to discussions earlier on the forum about server side disaggregation. It’s my firm belief that Intel is headed to a common such platform for CPU/GPU/xPU (ie Falcon shores) as early as Granite Ridge/Sierra Forest and the GPU after Realto Bridge. Some forum members have denied that such common platform is likely to even happen on the mainstream server product branch.
 

Exist50

Platinum Member
Aug 18, 2016
2,452
3,102
136
I don't think it was anything that new. We knew Intel was striving to disaggregate future products regardless. What I think is more interesting about that is the blurred Lunar Lake. The fact that Intel is keeping the wraps on Lunar Lake makes me think it's either A)simply too far into the future for Intel to be sure what they are going to do or B)a revolutionary product that uses a new mixture of CPU tiles, GPU tiles, AI tiles, SOC tiles, that we haven't seen in MTL and ARL before. I think it's a combination of both.
Lunar Lake is supposed to be a 2024 product. For that to be true, Intel has to be essentially done with the design by now, if not already taped out.

As for B, I think Lunar Lake merely would complicate the story they're trying to tell with Meteor Lake.
 

Geddagod

Golden Member
Dec 28, 2021
1,250
1,224
106
I believe he meat Manufactoring Ready at H1 and HVM on H2.

View attachment 72347
Seems to lineup with what the leaks are telling us too. Part of the lineup is moved to TSMC 3nm so they can launch on time for around mid 2024, while it seems like the Intel 20A version of ARL isn't going to be available at BEST end of 2024, and more realistically first half of 2025.
For example, it's also heavily suggested that GNR won't be available till mid 2024, and that's ~1 year after Intel 3 is "manufacturing ready" as well.
 

Joe NYC

Platinum Member
Jun 26, 2021
2,337
2,957
106
Lunar Lake is supposed to be a 2024 product. For that to be true, Intel has to be essentially done with the design by now, if not already taped out.

As for B, I think Lunar Lake merely would complicate the story they're trying to tell with Meteor Lake.

If Lunar Lake makes it out in 2024, 2024 will be Intel's busiest year ever, with 3 product releases:
- Meteor Lake
- Arrow Lake
- Lunar Lake
on 3 process technology nodes, all released in one year. Or one every 4 months.

And that's just the client side. On Server side, in 2024, Intel will be releasing:
- Granite Rapids
- Sierra Forest
 

dullard

Elite Member
May 21, 2001
25,235
3,649
126
If Lunar Lake makes it out in 2024, 2024 will be Intel's busiest year ever, with 3 product releases:
- Meteor Lake
- Arrow Lake
- Lunar Lake
on 3 process technology nodes, all released in one year. Or one every 4 months.
That is the whole point of the chiplets. They can simply swap out one chiplet for another and have a whole new CPU. Expect many fast releases whenever a new chiplet is available. But, each release will have a lesser impact than before. Instead of all of the CPU getting a node shrink, only a portion of the CPU will get a shrink at a time.

I would think it would be wise for Intel to even lump them into the same numerical category. For example, I think it might be a good idea to have both Meteor Lake and Arrow Lake in the 14000s. Intel has done mixed nodes in the same number system before.
 

Glo.

Diamond Member
Apr 25, 2015
5,768
4,693
136
MTL-P is supposedly single die, and yes, there will be, or rather should be Arrow Lake - P with 384 EUs iGPU, and 8P/16E CPU front.

So it should look like this:
MTL-P 6P/16E/192 EU
ARL-P 8P/16E/384 EU

And both of them should be 14th and 15th generation.
 

dullard

Elite Member
May 21, 2001
25,235
3,649
126
Is there going to be a mobile Arrow Lake? Or will Intel slot in a different product to take over for Meteor Lake?
We have this leaked slide of Arrow Lake-P for premium mobile. That doesn't mean there will be low power mobile though.
 

Glo.

Diamond Member
Apr 25, 2015
5,768
4,693
136
We have this leaked slide of Arrow Lake-P for premium mobile. That doesn't mean there will be low power mobile though.

So MTL-P 192 EUs, ARL-P - 384 EUs.
 

OneEng2

Junior Member
Sep 19, 2022
13
29
51
We are all well aware of the horrible debacle of Intels infamous 10nm (Intel 7) that resulted in Intel's 14nm, 14+, 14++, 14+++.

In interviews, Intel has stated that they were too agressive with 10nm and tried to do too many things at once and it bit them......... Ok, fair enough. I can buy that. Up until that moment, Intel was the process king routinely staying 1.5 to 2 die shrinks ahead of the entire rest of the Earth.

What doesn't ring true to my miticously risk adverse engineering manager inside is that Intel is now saying that Meteor Lake will include 1) Tiles, 2) A die shrink, 3) Movement to EUV, and 4) A new architecture.

Anyone but me think that is an awful lot of risk for a single product to be exposed to? I mean, its a good thing that they aren't planning to use such a risky product in a high volume market right? (yes, that was sarcasm).

I also agree with those that said that Intel 3 is going to end up being just Intel 4+ so that Intel can keep the market happy that they are indeed on a new set of rail road tracks.

But lets be clear about this. Intel 4 (7nm) is only process parity with TSMC's 5nm process from a density standard (From here: https://www.granitefirm.com/blog/us/2021/12/28/tsmc-process-roadmap/). I am not certain about how power effecient it is in comparison as TSMC's 5nm process is already in its 5+ stage and has been rung out pretty well.

Now, I am not going to count Intel out here. Anyone that says silly things like that hasn't been watching the decades of history between Intel and AMD that I have. Intel pulled a decent rabbit out of its hat with Alder Lake and Raptor Lake; however, it wasn't without cost. I just bought my daughter (who will be heading off to college next year ) a Dell laptop with an AMD processor in it. You can also get this same model with an Intel processor (12K series vs AMD 5000 series). The biggest difference I saw in independent reviews? The AMD machine which has nearly identical performance gets 4 hours longer battery life. Yep, it wasn't for free.

My last concern is that Intel is laying off engineers. Seems hard to see how they are going to do 3 times the work with less people.

The proof will be in the execution. I never hear about the yields at Intel (unlike all the independent manufacturers who publish their yield rates), they simply say the launch isn't ready and move things back until the process is working better (TSMC just charges an arm and a leg for it while it matures). I would personally be a bit surprised if Intel can get Meteor Lake out in 2023 like they have said, but time will tell.
 

BorisTheBlade82

Senior member
May 1, 2020
669
1,022
136
Single die as in: 6P/16E design, for both mobile and desktop.

Thats what I meant. Not that it is "single die" per se.
Okay. Well, rumour had it for years that MTL might be Mobile only. The new roadmap seems to support that.
So my current expectation is aligned to many others here that MTL will start Mobile only and might go to the Mainstream DT segment later on (same compute tile), while ARL will take the High-End.
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |