Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 361 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
686
574
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E08 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Arrow Lake Refresh (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXDesktop OnlyMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2025 ?Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E8P + 32E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ??8 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)

 

Attachments

  • PantherLake.png
    283.5 KB · Views: 23,980
  • LNL.png
    881.8 KB · Views: 25,452
Last edited:

dullard

Elite Member
May 21, 2001
25,166
3,591
126
So you think we should ignore operating expenses? Is labor free all of a sudden?
Net income is all revenue minus all expenses. Net income is the final bottom line for a company. Net income includes the cost of labor--I have no idea where you got that from.

Operating income and operating expenses ignore a bunch of income (interest income, one-offs, etc.) and ignore a bunch of expenses (interest expenses, taxes, one-offs, etc). It is basically what you get after you do whatever massaging of data you want to do.
 
Last edited:

Henry swagger

Senior member
Feb 9, 2022
418
264
106
Arrow Lake needs to be a lot better than "not bad". It needs to be a home run, especially since it is coming out after Zen 5. ARL might have been a great chip if it had come out instead of RL-R, ahead of Zen 5. But coming out several months after Zen 5 and maybe even the X3D chips, puts it in a very bad position. And it even doesn't use the fancy new intel nodes for the most performant chips, but TSMC. Honestly, Intel seems to still be in a state of complete disarray, especially on desktop. Maybe Lunar Lake will be great for low power laptops, but I dont see any advance like that on the desktop.
Dn't worry intel will still outsell amd easily lol
 

Hitman928

Diamond Member
Apr 15, 2012
5,527
8,601
136
Net income is all revenue minus all expenses. Net income is the final bottom line for a company. Net income includes the cost of labor--I have no idea where you got that from.

Operating income and operating expenses ignore a bunch of income (interest income, one-offs, etc.) and ignore a bunch of expenses (interest expenses, taxes, one-offs, etc). It is basically what you get after you do whatever massaging of data you want to do.

You're right, for some reason I was thinking net income didn't include non-profit generating labor but typically profitability is compared based on operating income since net income can include single time sales or one-off situations. Overall point remains though, Intel is spending more money than they bring in for the past couple of years and on an operating basis, are barely breaking even. They are adding on debt while having sizeable layoffs, reducing their cash holdings, and having to bring on investors into their fab builds which will reduce future profits. I'm not saying they are doomed, but they are not in a great place financially either given how cash intensive their foundry business is. When Pat said he was betting the company on them regaining process leadership, he wasn't really exaggerating.
 
Reactions: Tlh97 and podspi

Hans Gruber

Platinum Member
Dec 23, 2006
2,203
1,145
136

dullard

Elite Member
May 21, 2001
25,166
3,591
126
When Pat said he was betting the company on them regaining process leadership, he wasn't really exaggerating.
I'm not disagreeing with that point. I'm disagreeing whether or not the determination of that point is Arrow Lake. It is not. Even the first 18A is not quite the point of balance (since it won't use high-NA lithography at first), but it is close. If 18A doesn't work out, then Intel will have a long line of companies (and the government) after it, and Pat's bet will look exceedingly difficult to pay off.
 

Hitman928

Diamond Member
Apr 15, 2012
5,527
8,601
136
I'm not disagreeing with that point. I'm disagreeing whether or not the determination of that point is Arrow Lake. It is not. Even the first 18A is not quite the point of balance (since it won't use high-NA lithography at first), but it is close. If 18A doesn't work out, then Intel will have a long line of companies (and the government) after it, and Pat's bet will look exceedingly difficult to pay off.

Agreed.
 

Hulk

Diamond Member
Oct 9, 1999
4,354
2,218
136
Arrow Lake needs to be a lot better than "not bad". It needs to be a home run, especially since it is coming out after Zen 5. ARL might have been a great chip if it had come out instead of RL-R, ahead of Zen 5. But coming out several months after Zen 5 and maybe even the X3D chips, puts it in a very bad position. And it even doesn't use the fancy new intel nodes for the most performant chips, but TSMC. Honestly, Intel seems to still be in a state of complete disarray, especially on desktop. Maybe Lunar Lake will be great for low power laptops, but I dont see any advance like that on the desktop.

So you consider Zen 5 a home run at this point?

Or does everything suck?
 

lightisgood

Member
May 27, 2022
187
78
71
View attachment 101186
Arrow Lake won't be a bad chip. But, it will still be made on approximately* the same node size as Zen 5. Arrow Lake can't be clearly faster in all metrics based on that alone. *I say approximately since there will be multiple tiles on multiple nodes, and there isn't a direct comparison.

I think this is modest opinion.

Intel is blessed with 3nm gen process, N3B & Intel 3, in this year.
AMD don't enjoy it because of TSMC failed in the development of 3nm gen process, N3A.

Frankly speaking, TSMC's process roadmap is in confusion, isn't it?
Please remember that TSMC planed to apply BSPDN to N2P, however, this plan is canned today.

Moving a point of view on AMD's process, it should be 4nm in 2024, 3nm in 2025, 3nm in 2026... because of Apple is given priority entry to leading-edge node.

In short, Intel's outlook is pretty good.
 
Reactions: Henry swagger

Henry swagger

Senior member
Feb 9, 2022
418
264
106
I think this is modest opinion.

Intel is blessed with 3nm gen process, N3B & Intel 3, in this year.
AMD don't enjoy it because of TSMC failed in the development of 3nm gen process, N3A.

Frankly speaking, TSMC's process roadmap is in confusion, isn't it?
Please remember that TSMC planed to apply BSPDN to N2P, however, this plan is canned today.

Moving a point of view on AMD's process, it should be 4nm in 2024, 3nm in 2025, 3nm in 2026... because of Apple is given priority entry to leading-edge node.

In short, Intel's outlook is pretty good.
Well said.. market share always reveals the truth from propaganda
 

del42sa

Member
May 28, 2013
64
63
91

In this episode Ori Lempel, Senior Principal Engineer in the P-core architecture team, provides an in-depth look at the newest P-core microarchitecture codename Lion Cove and he covers the key goals of the microarchitecture. The episode also unpacks the changes in both front and back-end of the CPU Core, optimizations for ST performance and area efficiency, as well as the generational improvement in IPC (Instructions Per Cycle) and PnP (Power and Performance) of the microarchitecture.


Codenamed Lion Cove, this P-core microarchitecture features significant changes from its Redwood Cove predecessor, including improvements to the fetch and decode pipelines, a completely revamped memory subsystem and, critically, the removal of Hyper-Threading logic.
 
Reactions: Executor_

ondma

Platinum Member
Mar 18, 2018
2,745
1,320
136
So you consider Zen 5 a home run at this point?

Or does everything suck?
Obviously, nobody knows for sure how either company will perform. Problem is that ARL is late to the party. What reason will their to buy it if AMD has a comparable or better performing chip out 6 months earlier?. AMD has gone from basically nothing to having a market cap greater than intel, and has been executing consistently on schedule and with consistent performance improvements. Intel OTOH, was stuck for years on Skylake, made a nice jump with Alder Lake (at the expense of high power usage and going to a hybrid architecture), and has been again stuck with 2 refreshes since. They seem to be the Atlanta Falcons (see Super Bowl 51) of the cpu industry. When you go from total domination to second place, you definitely need a home run.
 

Magio

Junior Member
May 13, 2024
7
9
36
18A and 14A need to be the home runs, Arrow Lake just needs to be a competitive product.

18A because Intel is staking its legitimacy as a foundry on it and if they can't deliver that node on time and with a proper ramp they have almost no chance of attracting top contracts for it, 18A-P or 14A, relegating their foundry efforts to second tier external contracts for several years yet.

And 14A because it needs to show that their early, expensive and expansive investment into High NA EUV was the right move. Intel bought itself a one year long head start on High NA compared to everyone else, acquiring all of ASML's 2024 machines, and if 14A shows that that was the right choice Intel will find themselves in the same position as TSMC was when they demonstrated that their own head start on normal EUV was going to yield dividends.

Intel could have given up the foundry fight, dropped out of chasing leading edge nodes like many before them and essentially becoming AMD in the process. But they didn't and that move means that for the next while, their products can afford to just be "good enough" if the foundry delivers on its next few milestones. But it also means that even if LNL/ARL and even PTL were absolute home runs, it wouldn't get them out of the hot water they'd find themselves in if 18A was to be a dud.
 

Hulk

Diamond Member
Oct 9, 1999
4,354
2,218
136
Obviously, nobody knows for sure how either company will perform. Problem is that ARL is late to the party. What reason will their to buy it if AMD has a comparable or better performing chip out 6 months earlier?. AMD has gone from basically nothing to having a market cap greater than intel, and has been executing consistently on schedule and with consistent performance improvements. Intel OTOH, was stuck for years on Skylake, made a nice jump with Alder Lake (at the expense of high power usage and going to a hybrid architecture), and has been again stuck with 2 refreshes since. They seem to be the Atlanta Falcons (see Super Bowl 51) of the cpu industry. When you go from total domination to second place, you definitely need a home run.

Hmm. I don't want to be put in a position of starting an AMD vs. Intel derailment due to the fact that this is an Intel thread and those discussions are never productive (or fun).

AMD has been and looks to continuing to execute brilliantly. Zen 5 should be a nice solid upgrade over Zen 4. But those Skymont E cores are looking to be home runs for Intel and could be a problem for AMD for both mobile and desktop. While hybrid may not have gotten off to the best start, Skymont seems to be fulfilling the promise Intel made with hybrid years ago. If ARL is as innovative as LNL it might just be worth waiting for.

My point is that while Intel definitely had some stumbles in recent years due to process delays and quite frankly arrogance, they were still selling tons of chips. Their stumble was lucky for us in my opinion as it allowed AMD back into the game in a big way. I'm glad AMD got to catch up. Now both companies are hitting them out of the park.
 
Last edited:

Hulk

Diamond Member
Oct 9, 1999
4,354
2,218
136
18A and 14A need to be the home runs, Arrow Lake just needs to be a competitive product.

18A because Intel is staking its legitimacy as a foundry on it and if they can't deliver that node on time and with a proper ramp they have almost no chance of attracting top contracts for it, 18A-P or 14A, relegating their foundry efforts to second tier external contracts for several years yet.

And 14A because it needs to show that their early, expensive and expansive investment into High NA EUV was the right move. Intel bought itself a one year long head start on High NA compared to everyone else, acquiring all of ASML's 2024 machines, and if 14A shows that that was the right choice Intel will find themselves in the same position as TSMC was when they demonstrated that their own head start on normal EUV was going to yield dividends.

Intel could have given up the foundry fight, dropped out of chasing leading edge nodes like many before them and essentially becoming AMD in the process. But they didn't and that move means that for the next while, their products can afford to just be "good enough" if the foundry delivers on its next few milestones. But it also means that even if LNL/ARL and even PTL were absolute home runs, it wouldn't get them out of the hot water they'd find themselves in if 18A was to be a dud.
I think the CPU tile on ARL is going to be TMSC N3B based on my earlier post quoting the Intel lead architect of LNL. Until (and if they can) Intel catches up to TMSC I think they are going to use TMSC for compute tiles at the very least. They use their own foundries for legacy parts and other things that don't require a cutting edge node. As Intel stated they will use the best available nodes for each tile. "Best" most likely encompasses economic concerns as well. Meaning how much CPU "goodness" is lost by using a less advanced I/O tile on a desktop part?
 

poke01

Golden Member
Mar 8, 2022
1,242
1,412
106
My point is that while Intel definitely had some stumbles in recent years due to process delays and quite frankly arrogance, they were still selling tons of chips. Their stumble for lucky for us in my opinion as it allowed AMD back into the game in a big way. I'm glad AMD got to catch up. Now both companies are hitting them out of the park
Both Intel and AMD have really great products, Lunar is amazing and Zen 5 X3D should be the default CPU series for gamers.
 
Reactions: dullard

SiliconFly

Golden Member
Mar 10, 2023
1,128
576
96
Both Intel and AMD have really great products, Lunar is amazing and Zen 5 X3D should be the default CPU series for gamers.
Doesn't have to be. Lunar Lake looks pretty impressive. And the 4 Skymont E cores are LPE (not on ring + no L3). But Arrow Lake's E cores are "full" E cores with improved performance. A 8P+16E part will have so much muscle, it should be able to go head-to head with X3D parts without breaking a sweat. X3D won't be the default anymore.
 

Hulk

Diamond Member
Oct 9, 1999
4,354
2,218
136
Doesn't have to be. Lunar Lake looks pretty impressive. And the 4 Skymont E cores are LPE (not on ring + no L3). But Arrow Lake's E cores are "full" E cores with improved performance. A 8P+16E part will have so much muscle, it should be able to go head-to head with X3D parts without breaking a sweat. X3D won't be the default anymore.
I don't game. Are CPU's bottlenecks in games these days? I was under the impression it was more a GPU thing?
 

LightningZ71

Golden Member
Mar 10, 2017
1,650
1,937
136
The games where the X3D cache makes the biggest difference, mainly simulators and big world city/empire builders, tend to be rather niche in the overall games scene. It still makes a sizeable uplift in many mainstream titles, but save for a few, the difference isn't massive.
 

ondma

Platinum Member
Mar 18, 2018
2,745
1,320
136
Not all. There are some CPU bound games where the X3D cache actually offers a decent performance uplift. But I don't think that matters anymore.
I dont understand this. Why doesnt it matter? I guess you could argue that almost any top line cpu is "good enough", but why not get the most performance you can?
 

lightisgood

Member
May 27, 2022
187
78
71
... what?

You know the total failure of TSMC's N3 development, don't you?
That is very similar to Intel 10nm's footsteps.

2018 Intel 10nm / 2022 TSMC N3A * canned
2019 Intel 10nm+ / 2023 TSMC N3B ** limited volume
2020 Intel 10nm SF / 2024 TSMC N3E *** to be mainstream, at last

Of course, you can claim that TSMC had not failed at N3 , in other words, Intel had not failed at 10nm.
 

The Hardcard

Member
Oct 19, 2021
92
113
76
You know the total failure of TSMC's N3 development, don't you?
That is very similar to Intel 10nm's footsteps.

2018 Intel 10nm / 2022 TSMC N3A * canned
2019 Intel 10nm+ / 2023 TSMC N3B ** limited volume
2020 Intel 10nm SF / 2024 TSMC N3E *** to be mainstream, at last

Of course, you can claim that TSMC had not failed at N3 , in other words, Intel had not failed at 10nm.
I don’t know yet, because no one has provided factual information yet, your post not excepted.

If you do have the sourced facts, feel free to share them anytime you are ready.

Until someone steps up with new real information, current information indicates that TSMC 3 nm issues were nowhere near what Intel went through with 10 nm.
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |