Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 388 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
686
576
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E08 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Arrow Lake Refresh (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXDesktop OnlyMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2025 ?Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E8P + 32E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ??8 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)

 

Attachments

  • PantherLake.png
    283.5 KB · Views: 23,984
  • LNL.png
    881.8 KB · Views: 25,456
Last edited:

AcrosTinus

Member
Jun 23, 2024
33
10
36
Thats sad. But I'm still hoping that it's somehow true!

I tried to analyze the image trying to find any ps edits, but the result was inconclusive. The (false) color bleed looks more like jpg compression artifact rather than alteration. Either the image is real or they've done an amazing pixel perfect job (which isn't difficult actually) or they might have faked it some other way. Hard to say.

View attachment 102250View attachment 102251

But what if it isn't fake? 😶
We will know soon enough but I think a score above 1K in CPU-Z is a given. Current CPUs with 5,7 to 5,8Ghz ST turbo do around 922 to 940. Irrespective of CPU-Z worth a 15% increase would put it around 1070 points if clocks don't regress.

Wasn't 20A with backside power(PowerVia) and gate all around(RibbonFet) transistors suppose to keep clock regression low or negate it ?
 

KompuKare

Golden Member
Jul 28, 2009
1,075
1,120
136
Oh yeah thats right. People saying CPU-Z is flawed benchmark. Well for AMD CPUs it seems to be but it seems more accurate for Intel CPUs? Though did not fully read them just scanned briefly so may have missed?

So am I not right or is that source not right?
There is another possibility about CPU-Z's benchmark:
The dev has been changing it for "reasons" supposedly just to align with actual code. However, what if those changes - which previously seem to favour Intel (at the expense of that other x86 who we will not mention in this Intel thread) - now no longer do?

A limited benchmark like CPU-Z (and the whole CPU-Z executable is only 4MB) can - like all benchmarks - only measure how good something is at running that benchmark, but what if the new Intel CPUs just don't get along with CPU-Z?

They may do quite well in other code. Or they may not. As always until hardware is released we can only speculate.
 

cebri1

Member
Jun 13, 2019
130
146
116
Considering they are claiming 14% IPC uplift for Lion's Lunar Lake. I can see it reaching close to 16% for the Arrow Lake version. If there is indeed some clock regression, 10-12% increase while improving energy efficiency significantly will be a pretty good update over Raptor Lake.
 

SiliconFly

Golden Member
Mar 10, 2023
1,220
631
96
... but it seems more accurate for Intel CPUs? ...
... but what if the new Intel CPUs just don't get along with CPU-Z? ...
I did run it with my 13900HX against 12900K & 13900K and the performance difference was what we pretty much expect. So, there is a possibility that it works well with ARL too. Just a guess.
 
Reactions: AcrosTinus

SiliconFly

Golden Member
Mar 10, 2023
1,220
631
96
Considering they are claiming 14% IPC uplift for Lion's Lunar Lake. I can see it reaching close to 16% for the Arrow Lake version. If there is indeed some clock regression, 10-12% increase while improving energy efficiency significantly will be a pretty good update over Raptor Lake.
I can see a >20% for the Arrow Lake version. With a little clock regression, the final tally can be as high as 18% to 20% increase.

How is Intel 20A (the 6+8 die) compared to TSMC 3nm they are going to put the higher end 8+16 dies on? Are they the same or does one have an advantage?
Many articles said N3B has slightly higher density (when comparing equivalent libraries), but 20A has slightly higher performance. But I think that for all practical purposes, there wouldn't be much of a difference. Also, the extra performance of 20A I'd directly attribute to BSPD.

Edit: Oopsie.. misread your question. My bad.

I hope 20A knocks it out of the park but are we really expecting factory 6.2Ghz for ST workloads from Arrow Lake?
No knocking nothing out of anything. When 20A ramps up soon, it'll be the technically most advanced node in the world! But, N3B already ramped up last year itself. Considering TSMC, it's yield & volume will be thru the roof by now. I don't think 20A can match that. Technically being superior is one thing. But been there, done that is altogether different.

As for the clocks, the last leak mentioned 5.8GHz I assume & 14900K is 6.0GHz. Thats just 3% regression. Not a big deal.
 
Last edited:

Wolverine2349

Senior member
Oct 9, 2022
248
90
61
Many articles said N3B has slightly higher density (when comparing equivalent libraries), but 20A has slightly higher performance. But I think that for all practical purposes, there wouldn't be much of a difference. Also, the extra performance of 20A I'd directly attribute to BSPD.

Edit: Oopsie.. misread your question. My bad.


Is that primarily why 8 + 16 die is in N3B because it needs to be more dense to fit more cores 2 more P cores and 8 core e-cores?

Could Intel put 8 + 16 on their own 20A if the wanted or no?
 

dullard

Elite Member
May 21, 2001
25,214
3,627
126
Is that primarily why 8 + 16 die is in N3B because it needs to be more dense to fit more cores 2 more P cores and 8 core e-cores?

Could Intel put 8 + 16 on their own 20A if the wanted or no?
For the real answer, please take a look at this chart: http://www.portvapes.co.uk/?id=Latest-exam-1Z0-876-Dumps&exid=thread...akes-discussion-threads.2606448/post-41180614 Notice how Intel doesn't have much 20A capacity in 2024 (green bar). They physically can't make large numbers of large tiles. Intel didn't buy enough equipment years ago to be able to do that. And the line to buy more equipment is years long. So Intel just physically cannot add capacity quickly.

Conspiracy answer: they are using TSMC, therefore Intel's 20A yield is too low to make any big chips. Of course, there is zero evidence for it, but you'll see that thought a lot.
 

SiliconFly

Golden Member
Mar 10, 2023
1,220
631
96
... Could Intel put 8 + 16 on their own 20A if the wanted or no?
... Conspiracy answer: they are using TSMC, therefore Intel's 20A yield is too low to make any big chips. ...
Actually, the last part is true. Larger the die, lesser the yield. And that too it's not even linear. It's worse. The bigger the die, the yield gets more and more worse exponentially. Which in turn seriously hurts volume & increases cost.

For smaller dies, newer cutting edge nodes like 20A with moderate yields works really well. For larger dies, a more mature node with higher yield and larger capacity like N3B is the best fit.

In short, for 8+16, only N3B can meet the market demand (not practical/viable with newer nodes like 20A).
 
Reactions: MoogleW

dullard

Elite Member
May 21, 2001
25,214
3,627
126
Actually, the last part is true. Larger the die, lesser the yield. And that too it's not even linear. It's worse. The bigger the die, the yield gets more and more worse exponentially. Which in turn seriously hurts volume & increases cost.

For smaller dies, newer cutting edge nodes like 20A with moderate yields works really well. For larger dies, a more mature node with higher yield and larger capacity like N3B is the best fit.

In short, for 8+16, only N3B can meet the market demand (not practical/viable with newer nodes like 20A).
Yes, larger chips have lower yields. That is true for every company and every node. But that still says nothing about whether 20A yields are good or terrible or something in between. So your conclusion is still completely an unproven conspiracy until evidence emerges otherwise.
 

Magio

Junior Member
May 13, 2024
23
20
36
For the real answer, please take a look at this chart: http://www.portvapes.co.uk/?id=Latest-exam-1Z0-876-Dumps&exid=thread...akes-discussion-threads.2606448/post-41180614 Notice how Intel doesn't have much 20A capacity in 2024 (green bar). They physically can't make large numbers of large tiles. Intel didn't buy enough equipment years ago to be able to do that. And the line to buy more equipment is years long. So Intel just physically cannot add capacity quickly.

Conspiracy answer: they are using TSMC, therefore Intel's 20A yield is too low to make any big chips. Of course, there is zero evidence for it, but you'll see that thought a lot.
What a colossal -redacted- up it was from Intel to pass up on EUV for so long, by the way. Now they're "overcompensating" by buying up all the early High NA EUV machines while TSMC is content to wait a while, and history will tell us if this will be a reversal of the DUV-EUV transition.

Profanity is not allowed in the tech forums.

Daveybrat
AT Moderator
 
Last edited by a moderator:

SiliconFly

Golden Member
Mar 10, 2023
1,220
631
96
Yes, larger chips have lower yields. That is true for every company and every node. But that still says nothing about whether 20A yields are good or terrible or something in between. So your conclusion is still completely an unproven conspiracy until evidence emerges otherwise.
A brand new node with all new cutting edge technologies like GAAFET & BSPD is not something any foundry is gonna master is a short span. Like all foundries, Intel too needs time to increase yield.

Pat mentioned during Intel Accelerated that they've pulled-in both 20A & 18A. That gives the nodes even less time than they need. 20A yields are not gonna be spectacular during debut. Hence, only smaller dies now.

... Now they're "overcompensating" by buying up all the early High NA EUV machines while TSMC is content to wait a while, and history will tell us if this will be a reversal of the DUV-EUV transition.
A foundry spending billions of dollars on cutting edge machines is not something trivial. It clearly shows they have a proper plan in place and are working on it already. I'm sure Intel will be the first foundry to have significant High-NA capacity well ahead of competition in the coming years. It's gonna make a huge difference real soon.
 

mikk

Diamond Member
May 15, 2012
4,173
2,211
136
Is this even a surprise? It was the same with Meteor Lake-H when it launched, first EEP models came out and then 2 or 3 months later non EEP models appeared. Almost all the big OEMs had 1 or 2 EEP models in the first few weeks after launch. It's better than what AMD does because it's usually Asus exclusive for the first 1-3 months after launch. Intel EEP is more than just Asus.
 
Reactions: Ghostsonplanets

FlameTail

Diamond Member
Dec 15, 2021
3,180
1,809
106
Lunar Lake
8 core Xe2 GPU
8 MB GPU L2 cache
8 MB SLC
LPDDR5X-8533 + 128 bit = 136 GB/s

Panther Lake
12 core Xe3 GPU

Panther Lake has a significantly beefed up GPU. How are they going to feed it?

Supposedly it's going to stick to LPDDR5X, and not upgrade to LPDDR6.
 

DavidC1

Senior member
Dec 29, 2023
394
580
96
Lunar Lake
8 core Xe2 GPU
8 MB GPU L2 cache
8 MB SLC
LPDDR5X-8533 + 128 bit = 136 GB/s

Panther Lake
12 core Xe3 GPU

Panther Lake has a significantly beefed up GPU. How are they going to feed it?

Supposedly it's going to stick to LPDDR5X, and not upgrade to LPDDR6.
Improved architecture, that's how. Xe2 on LNL not only has doubled L2 cache but Fast Clear for lower bandwidth requirements(should be on both small ones such as instructions and large ones like textures).

Let's look at the history:
Icelake has support for LPDDR4x-3733

XeLP = 2x the performance
XeLPG on Meteorlake = 2x the performance
Xe2 on LNL = 1.5x the performance.

6x the performance with 2.3x the memory bandwidth. How did that work you might ask?
Pat mentioned during Intel Accelerated that they've pulled-in both 20A & 18A. That gives the nodes even less time than they need. 20A yields are not gonna be spectacular during debut. Hence, only smaller dies now.
Still the delusion regarding 20A. Why would such an AWESOMESAUCE node only be in 6+8 and only in desktop? Because it's not that good. You can also see how Intel 3 is quite a bit better than Intel 4 too. Conversely, Intel 4 product is just not that good. They are fast iterating to catch up and exceed competitors. That's the sole purpose of Intel 4 and 20A. Stepping stones.

"Hey Intel 4 and 20A isn't meeting expectations"
"No problem, the real ones are Intel 3 and 18A"

Intel only pulled in 18A not 20A. 20A was always 2024 H1 production. 18A went from 2025 H1 to 2024 H2.
 
Last edited:

DavidC1

Senior member
Dec 29, 2023
394
580
96
A brand new node with all new cutting edge technologies like GAAFET & BSPD is not something any foundry is gonna master is a short span. Like all foundries, Intel too needs time to increase yield.
Who cares about high level specs? AMD had copper on 0.18u process but Intel's aluminum 0.18u demolished it. It was not even close. The drive current advantage was over 25%. Intel had their 0.18u specs in public. AMD had it hidden in some near obscure document. Because there was nothing to be proud of.

What about Samsung with endless iterations and high level leadership like GAA, but being atrocious in reality? TSMC is so ahead that the similar labeled node is almost a generation better, while also yielding far better.

You cannot have a F1 car with a 600 hp V16 alone. You need the chassis to be aerodynamic, the wheels to be large and smooth, the vehicle be very light, etc, etc.
I am hoping for 5,8Ghz, 6,2Ghz seems out of reach for a test node towards 18A but what do I know...
It was 5.7GHz on the leak. Intel 7 chips are overdue iterations of the 10nm process. You can perfect what you are doing you know? Polish it? The frequencies the desktop chips are running are beyond only process.

Same damn thing was said of 14nm vs 10nm, with people saying 10nm would go past 14nm, but it took years to do so.
 
Last edited:

FlameTail

Diamond Member
Dec 15, 2021
3,180
1,809
106
It was 5.7GHz on the leak. Intel 7 chips are overdue iterations of the 10nm process. You can perfect what you are doing you know? Polish it? The frequencies the desktop chips are running are beyond only process.

Same damn thing was said of 14nm vs 10nm, with people saying 10nm would go past 14nm, but it took years to do so
They have refined and optimised 10nm so much, it's as if blood has been squeezes out of a stone.
 

DavidC1

Senior member
Dec 29, 2023
394
580
96
They have refined and optimised 10nm so much, it's as if blood has been squeezes out of a stone.
Yea you need the new process to be power and area efficient. It was 14nm delays that put power increase substantial too. But clocks need to go down, not up.*

Back in the Pentium 4 days process itself was a game changer. It was around 90nm when it started to really slow down.

Now they need 10x the changes to get 0.7x the effect of the Golden Age of process.

*I repeated over and over again that modern clocks are basically only possible due to heatsinks being the size and weight of literal bricks, or common water cooling.

The cooling today is pseudo-exotic, and if you had such setups back 20 years ago, you could reach 5GHz clocks too.
 

dullard

Elite Member
May 21, 2001
25,214
3,627
126
A brand new node with all new cutting edge technologies like GAAFET & BSPD is not something any foundry is gonna master is a short span. Like all foundries, Intel too needs time to increase yield.
Valid points, but they still are insufficient for your conclusion. Until there is actual yield information (unlikely to get actual numbers but we can estimate eventually), you only have an unproven conclusion. Counterpoints: (1) smaller tiles have higher yields than doing it all large and monolithic, (2) Intel isn't doing that yield-killing quad patterning now that there is some EUV. Which wins out? Your points or the counterpoints? Without data, we can't make conclusions.
 
Last edited:
Reactions: SiliconFly
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |