Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 477 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
694
600
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E012 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ?12 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)



 

Attachments

  • PantherLake.png
    283.5 KB · Views: 24,000
  • LNL.png
    881.8 KB · Views: 25,481
Last edited:

SiliconFly

Golden Member
Mar 10, 2023
1,466
826
96
R24 is much easier to gauge than something like Geekbench-- 9950X does around 132-138 in R24 ST depending on the review you look at. Anandtech put 9950X and 9900X at 132 and 131 respectively in their review. That is right in line with the 11.8% clock increase over HX 370 ST average of 115.

Im not using it for average IPC, but specifically R24. If the number cited is real, Lion Cove is looking like ~10-13% faster per clock than Zen 5 Nirvana core in R24.
Actually, I've been looking at all the leaks (like both trusted and unverified). It clearly appears that ARL's LNC is gonna beat 9950X by at least 15%.
 

SiliconFly

Golden Member
Mar 10, 2023
1,466
826
96
Not gonna happen should be around 10% max 15% is unrealistic for ARL
This is exactly what people said in the beginning when I said with ARL-S LNC I'm expecting ~20% IPC uplift and >10% to 15% overall ST performance uplift w.r.t 14900K (maybe more).

But the rest were sticking to a meager 5% ST perf uplift.

Now, many have updated their projections to 10%.

Pretty soon, we can start seeing people updating their projections to 15%. Just wait and watch.
 

OriAr

Member
Feb 1, 2019
93
84
91
This is exactly what people said in the beginning when I said with ARL-S LNC I'm expecting ~20% IPC uplift and >10% to 15% overall ST performance uplift (w.r.t 14900K).

But the rest were sticking to a meager 5% ST perf uplift.

Now, many have updated their projections to 10%.

Pretty soon, we can start seeing people updating their projections to 15%. Just wait and watch.
Gonna be 15% IPC increase, ~8% ST perf increase over 14900KS (Not an easy feat considering the latter is clocked to the moon and back).
MT perf increase should be around 20%. Pretty solid generational gains but nothing mouthwatering.
The real fun part is Z890 and how much of a better platform it is than Prom21.
 

MarkPost

Senior member
Mar 1, 2017
294
531
136
R24 is much easier to gauge than something like Geekbench-- 9950X does around 132-138 in R24 ST depending on the review you look at. Anandtech put 9950X and 9900X at 132 and 131 respectively in their review. That is right in line with the 11.8% clock increase over HX 370 ST average of 115.

Im not using it for average IPC, but specifically R24. If the number cited is real, Lion Cove is looking like ~10-13% faster per clock than Zen 5 Nirvana core in R24.
Anandtech R24 score is really low for 9950X.

9950X ST score is ~140. This is mine @stock and mem @5600 EXPO

 

511

Senior member
Jul 12, 2024
266
185
76
Gonna be 15% IPC increase, ~8% ST perf increase over 14900KS (Not an easy feat considering the latter is clocked to the moon and back).
MT perf increase should be around 20%. Pretty solid generational gains but nothing mouthwatering.
The real fun part is Z890 and how much of a better platform it is than Prom21.
Also the power efficiency thanks to N3B
 
Reactions: SiliconFly

MarkPost

Senior member
Mar 1, 2017
294
531
136
Seems pretty low, but CB24 is so memory sensitive that you may be down even as much as a few hundred points in MT with 5600 ram, as opposed to 6000-6400.

On my 7950X I get 1900 at stock ram, 2190 with 6400C30 EXPO.
yeah, specially tightening timmings

Anyways, for some reason CB R23 or 2024 arent the best examples for Zen 5 to shine.
 
Jul 27, 2020
19,613
13,477
146
Anyways, for some reason CB R23 or 2024 arent the best examples for Zen 5 to shine.
Coz that wasn't their focus. I think thanks to Intel's continued execution failings, AMD felt liberated to experiment and not care about beating Intel decisively in the usual benchmarks. Or you know, AMD failed. One may construe it as however they want.
 

cebri1

Senior member
Jun 13, 2019
251
252
136
yeah, specially tightening timmings

Anyways, for some reason CB R23 or 2024 arent the best examples for Zen 5 to shine.

Still very close to Zen2 32 cores TR. Even accounting for the clock advantage is a very good result imo.

Also the power efficiency thanks to N3B

Once we get independent results, one of the things I'm really looking forward to in the comparison vs MTL is performance per watt (adjusted for IPC increase). Intel 4 vs N3B will give us good information about where Intel 3 is as well as 18A.
 
Reactions: lightmanek

SiliconFly

Golden Member
Mar 10, 2023
1,466
826
96
Coz that wasn't their focus. I think thanks to Intel's continued execution failings, AMD felt liberated to experiment and not care about beating Intel decisively in the usual benchmarks. Or you know, AMD failed. One may construe it as however they want.
Lisa Su is probably one of the few most tech savvy persons around. Maybe extreme client performance wasn't her top priority this time around. Or maybe they're laying ground work for something much better going forward. I'd say it all looks like a calculated move.
 
Reactions: igor_kavinski

9949asd

Member
Jul 12, 2024
59
36
51
Back on topic here, have y'all seen the Cinebench R24 single core scores of the 288V? 13% faster than Strix @ same clock speed.

If that carries to Arrow Lake, 285K is going to be over 150, and likely ~2500 in R23 if the same uplift applies there. Thats what Im talking about.

Depending on pricing, AMD will have have to lower Zen 5 significantly, their 4nm Zen 5 is going to get trounced by 3nm Lion Cove quite handily. Seems AMD miscalculated in using 4nm for client in Zen 5, but maybe they had no choice / no capacity after Intel bought it all up.

*EDIT - corrected confusion about source of the score, thought it was from a 258V CPU in Yoga laptop, it is not.

View attachment 107018
What I heard is on ARL, lion cove is 442 per core/ghz , Skymont is 363 per core/ghz, in the r23.
 
Reactions: 511

Khato

Golden Member
Jul 15, 2001
1,240
309
136
So then what was the value of this observation in the first place, that people would see fit to distribute the information? Do we have any reference data from other EUV nodes for comparison?
Same value as any other academic experiments/research. Assuming that these articles on Semiwiki are by the same author they provide a bit more context into what he does - https://semiwiki.com/author/fred-chen/

As for why people saw fit to distribute the information? Well, isn't it obvious given the glee with which many claimed it as proof that Intel 3 yields were horrible? I always find it confusing when supposed technology enthusiasts wish to be dependent on South Korea and Taiwan for leading edge manufacturing.
 

ondma

Platinum Member
Mar 18, 2018
2,997
1,519
136
On that Royal thread I mentioned the other day. Looks like someone from that team posted in there. First of all what a name lmao. And two in my read they seem to poo poo it a bit (the conversation has more above and below).
View attachment 107015
Can anybody interpret all that gibberish? Was Royal a dud or are they trying to say it was good but the measuring metrics were wrong?
 

LightningZ71

Golden Member
Mar 10, 2017
1,782
2,135
136
I got from it that Royal was designed for specific benchmarks, took massive transistor budgets to get those gains, sacrificed general performance in the process, and didn't give them a sellable platform given currrent process tech. There might be a couple of useful parts, but it was generally a commercial dead end.
 

ondma

Platinum Member
Mar 18, 2018
2,997
1,519
136
There are too many leaks (both trusted and unverified) out there that signal that ARL's LNC is stronger than expected. A lot stronger.
Can you site some? Because I am strongly hoping ARL performs great, but every leak I can recall seems to confirm the ~5% ST performance improvement, and MT is all over the place.
 
Reactions: Thunder 57

DrMrLordX

Lifer
Apr 27, 2000
21,998
11,558
136
Frankly though, this could be Qualcomm sowing some extra doubt for Intel's investors. Spread some uncertainty by making it seem like Intel has been shopping key parts of its business. The timing especially feels like this might be part of the play, as it happens right after the like lone positive news Intel has had for some time, that Lunar Lake (?) is actually good, offering AMD iGPU rivaling graphics, with ARM level efficiency. I don't doubt Qualcomm wants to buy parts of Intel's business, but not much of it makes sense I think.

You may have a point. Bottom line is though that Intel will have some underperforming divisions left even after they sell Altera and spin off their fabs (assuming they do these things). The only way to save client might be to separate it from everything else. That means you either sell off your client business or you dump your lossy divisions and hope client can survive on its own.

Why would they sell their profitable parts that can survive their fabs failing? Their server instead is relying their fab execution - and if it fails whole server division is useless.

See above.
https://semiwiki.com/author/fred-chen/
As for why people saw fit to distribute the information?

I was more referring to Chen's decision to publish this on Twitter, but point taken.

I always find it confusing when supposed technology enthusiasts wish to be dependent on South Korea and Taiwan for leading edge manufacturing.

I always find it confusing that people are bothered by Taiwan and South Korea being good at something. Meanwhile Intel has brought death or stagnation to any number of leading edge fab companies. Nature of the beast and all that.
 
Reactions: KompuKare
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |