Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 478 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
694
600
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E012 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ?12 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)



 

Attachments

  • PantherLake.png
    283.5 KB · Views: 24,000
  • LNL.png
    881.8 KB · Views: 25,481
Last edited:

SiliconFly

Golden Member
Mar 10, 2023
1,466
826
96
if intel didn’t remove ht, the uplift should be 35%. And I think we will see ARL-refresh with ht lol. typical intel!😂
With Intel's current state, anything is possible.

But I'm seriously hoping they don't bring MT back as it might hurt ST a bit. I prefer good ST and a lot of real cores instead for multithreading.

Fyi, loss of MT perf due to removing HT is compensated a bit by increased IPC due to removal of HT.

Can anybody interpret all that gibberish? Was Royal a dud or are they trying to say it was good but the measuring metrics were wrong?
I got from it that Royal was designed for specific benchmarks, took massive transistor budgets to get those gains, sacrificed general performance in the process, and didn't give them a sellable platform given currrent process tech. There might be a couple of useful parts, but it was generally a commercial dead end.
It's evident Intel is in full damage control mode trying to control the narrative to their favor. Finding out this late in the cycle that it uses too much die area is just plain absurd and totally laughable. If Intel has money, none of this would have happened and they wouldn't be saying any of this.

Amanda Seyfried???
Why not?

Can you site some? Because I am strongly hoping ARL performs great, but every leak I can recall seems to confirm the ~5% ST performance improvement, and MT is all over the place.
Some random/unverified leaks usually on Twitter/X. Don't have any saved links. But some are there in this thread itself if you're strong enough to scroll back thru the posts. And forget MT. Without HT, can't expect much.
 
Last edited:

cebri1

Senior member
Jun 13, 2019
251
252
136
Same value as any other academic experiments/research. Assuming that these articles on Semiwiki are by the same author they provide a bit more context into what he does - https://semiwiki.com/author/fred-chen/

As for why people saw fit to distribute the information? Well, isn't it obvious given the glee with which many claimed it as proof that Intel 3 yields were horrible? I always find it confusing when supposed technology enthusiasts wish to be dependent on South Korea and Taiwan for leading edge manufacturing.


"I can’t discuss specifics, but Intel showed strong yield data for i7 down through 18A."

So either they were shown false data, or the intel yield drama is a "bit" over blown. The fact that they publicly announced D0 <0.40 for 18A, makes me think the latter is the correct one.
 

OriAr

Member
Feb 1, 2019
93
84
91

"I can’t discuss specifics, but Intel showed strong yield data for i7 down through 18A."

So either they were shown false data, or the intel yield drama is a "bit" over blown.
Overblown doesn't even begin to cover it.
It has d0 of less than 0.4, that's perfectly fine for a process that's at least 6 months away from HVM, despite what all the FUD spreaders like to say.
Potential customers only got PDK 1.0 last month, having fairly good yields already will help Intel at least start getting some business from them. (Rumor mill says Nvidia is getting wafers on Intel 3 next year for a GPU chiplet they are making for MediaTek's WoA SoC for a start)
 

cebri1

Senior member
Jun 13, 2019
251
252
136
Overblown doesn't even begin to cover it.
It has d0 of less than 0.4, that's perfectly fine for a process that's at least 6 months away from HVM, despite what all the FUD spreaders like to say.
Potential customers only got PDK 1.0 last month, having fairly good yields already will help Intel at least start getting some business from them. (Rumor mill says Nvidia is getting wafers on Intel 3 next year for a GPU chiplet they are making for MediaTek's WoA SoC for a start)

Intel 3 yield issue also do not make much sense, because Intel 4 seems to be yielding well (over 15M units produced in the last 9 months).

Edit: Btw, I think someone also mentioned it. 20A being scrapped is probably because 18A with PowerVia had good enough yields, and 20A use was going to be limited (one ARL-S SKU that we know off). However, 18A is falling short on performance expectations (from around 25% improvement in perf/W over Intel 3 to only 15%). The new 18A-P node they added to the roadmap will have the expected additional 10% improvement, but I don't think that will be ready before end of 25, early 26.
 
Last edited:

511

Senior member
Jul 12, 2024
266
185
76
Intel 3 yield issue also do not make much sense, because Intel 4 seems to be yielding well (over 15M units produced in the last 9 months).
If intel 3 has yield issue they can't manafacture ~570mm2 sierra forest die such big dies requires amazing yield or very very high cost
Edit: Btw, I think someone also mentioned it. 20A being scrapped is probably because 18A with PowerVia had good enough yields, and 20A use was going to be limited (one ARL-S SKU that we know off). However, 18A is falling short on performance expectations (from around 25% improvement in perf/W over Intel 3 to only 15%). The new 18A-P node they added to the roadmap will have the expected additional 10% improvement, but I don't think that will be ready before end of 25, early 26.
Yeah and yhat has support for mobile
 

ondma

Platinum Member
Mar 18, 2018
2,997
1,519
136
With Intel's current state, anything is possible.

But I'm seriously hoping they don't bring MT back as it might hurt ST a bit. I prefer good ST and a lot of real cores instead for multithreading.

Fyi, loss of MT perf due to removing HT is compensated a bit by increased IPC due to removal of HT.



It's evident Intel is in full damage control mode trying to control the narrative to their favor. Finding out this late in the cycle that it uses too much die area is just plain absurd and totally laughable. If Intel has money, none of this would have happened and they wouldn't be saying any of this.


Why not?


Some random/unverified leaks usually on Twitter/X. Don't have any saved links. But some are there in this thread itself if you're strong enough to scroll back thru the posts. And forget MT. Without HT, can't expect much.
Why not Amanda Seyfried? Oh, maybe Scarlet Johannsen, Margot Robbie, Gal Gadot, Charlize Theron, Jennifer Lawrence to name a few. Anyway, off topic, of course, just trying to introduce a little levity. Amanda Seyfried is undoubtedly talented, but I just never think of her when I think of hot female actors.

Back on topic, I agree with you about preferring 1T over nT performance if one has to choose. You still are holding on to the hope that LC will have a larger 1T performance gain than most expectations. If you are right (say ~ 20% ipc), then I am fine with dropping HT. If not, then they seemed to drop HT for no or minimal benefit to ST, which seems like a net loss. I am really disappointed that Royal Core did not work out. Intel desperately needs a ground up redesign of the P cores. Their P cores are like trying to run a 400 cubic inch dual carb V8 in the current age of fuel injected, computer controlled turbos. Yea the performance may be there, but the efficiency is not even in the ballpark. .
 

511

Senior member
Jul 12, 2024
266
185
76
What I heard is on ARL, lion cove is 442 per core/ghz , Skymont is 363 per core/ghz, in the r23.
Based on notebook check RPC is 392/Ghz so it is still 7% fastey than skymont and LNC is 12% faster than RPC
 

Attachments

  • Screenshot_20240908-022741.png
    229.7 KB · Views: 17

SiliconFly

Golden Member
Mar 10, 2023
1,466
826
96
That actually makes perfect sense. Nvidia experimenting with WoA SoC on Intel's experimental process. Cool.
I think it's more like MediaTek using Intel's process with Nvidia gpu tiles in their WoA SoC.

Also, I don't think it's experimental. Foveros, EMIB, base tiles already in production.

Why not Amanda Seyfried? Oh, maybe Scarlet Johannsen, Margot Robbie, Gal Gadot, Charlize Theron, Jennifer Lawrence to name a few. Anyway, off topic, of course, just trying to introduce a little levity. Amanda Seyfried is undoubtedly talented, but I just never think of her when I think of hot female actors.
His dream, his choice!

Back on topic, I agree with you about preferring 1T over nT performance if one has to choose. You still are holding on to the hope that LC will have a larger 1T performance gain than most expectations. If you are right (say ~ 20% ipc), then I am fine with dropping HT. If not, then they seemed to drop HT for no or minimal benefit to ST, which seems like a net loss.
Thats exactly what I'm counting on! I believe they dropped HT to bring the aforementioned significant bump in ST.

I am really disappointed that Royal Core did not work out. Intel desperately needs a ground up redesign of the P cores. Their P cores are like trying to run a 400 cubic inch dual carb V8 in the current age of fuel injected, computer controlled turbos. Yea the performance may be there, but the efficiency is not even in the ballpark. .
P core is gotten too fat and inefficient. Time to kill it. If rumors are true, in the future, they're killing the P core, taking parts of it, integrate into E cores and have a unified high performance and efficient core. But as of now, these are just random rumors without any proper sources.

Also, they're switching from -monts (goldmont/tremont/gracemont/crestmont/skymont/darkmont) to -Wolf very soon. This sounds like a significant shift for the E cores. But no details yet. But I'm assuming it's definitely not an incremental update!
 

Josh128

Senior member
Oct 14, 2022
286
403
96
My prediction for Lion Cove based on leaks seen so far is ~6-8% average IPC over Zen 5. Full on MT @ stock settings top SKU vs top SKU in desktop (16 core vs 24 core), Im expecting an average of +13% perf. OC for OC, could be ~15-20% more. Zen 5 does scale rather well with power, but starts so low to begin with (41.8K R23) I think ARL will really pull away when OC'ed. Being on 3nm should have major benefits in all core OC attainable up to the ~300W range.

For gaming, its going to be interesting to see how ARL's IPC advantage carries vs Raptor Lake being that its MCM. It likely will have a decided memory speed advantage vs Zen 5 even though its MCM.

Finally, the most interesting thing for me will be the price. I dont see how its not going to be expensive as hell. If AMD is trying to keep its margins on 4nm by pricing Zen 5 where it launched, I cant imagine Intel not doing the same on a more expensive and likely lower yield process, the first CPU by Intel ever that has its compute tiles fabbed by someone other than Intel.
 

DrMrLordX

Lifer
Apr 27, 2000
21,998
11,558
136
It's evident Intel is in full damage control mode trying to control the narrative to their favor. Finding out this late in the cycle that it uses too much die area is just plain absurd and totally laughable. If Intel has money, none of this would have happened and they wouldn't be saying any of this.

Sounds like Royal Cove had workload targets similar to Zen5.

If intel 3 has yield issue they can't manafacture ~570mm2 sierra forest die such big dies requires amazing yield or very very high cost

Let's not forget IceLake-SP. Intel has been down this road before.
 

Hulk

Diamond Member
Oct 9, 1999
4,455
2,373
136
Based on notebook check RPC is 392/Ghz so it is still 7% fastey than skymont and LNC is 12% faster than RPC
I generally use 389/GHz for Raptor Cove R23 ST and 265/GHz for Crestmont so yeah that 392 is a good (accurate) number.

Based on Lion Cove (442) and Skymont (363) numbers, ARL should score ~45,000 MT at 5.4/4.5 and ~2,500 ST at 5.7. If that can be achieved on air with less than 225W then I'd consider ARL successful. It would be a nice bump in performance and efficiency since Raptor Lake would need about 350W of silicon degrading electrons to score 45,000, not to mention the cooling towers required.

Realistically I'd wager we'll see ARL CB R23 MT scores of about 43,000. It's hard to scale those theoretical scores all the way to max clocks I've found.
 
Last edited:
Reactions: Henry swagger

jdubs03

Senior member
Oct 1, 2013
683
307
136
Skymont being exactly 38% more IPC is pretty impressive. No wonder why Intel is looking to consolidate the core team, the Monts could overtake the Coves. Perhaps as early as Darkmont? Or maybe it’ll be the Wolves that get it done.

@Hulk, the e-cores boost to 4.6. So the calculation comes out to about 45800. At least 43,500 is likely.
 
Last edited:

FlameTail

Diamond Member
Dec 15, 2021
3,759
2,206
106
Skymont being exactly 38% more IPC is pretty impressive. No wonder why Intel is looking to consolidate the core team, the Monts could overtake the Coves. Perhaps as early as Darkmont? Or maybe it’ll be the Wolves that get it done
It must be given a new name (Wolf) for a reason.
 
Reactions: jdubs03

9949asd

Member
Jul 12, 2024
59
36
51
I generally use 389/GHz for Raptor Cove R23 ST and 265/GHz for Crestmont so yeah that 392 is a good (accurate) number.

Based on Lion Cove (442) and Skymont (363) numbers, ARL should score ~45,000 MT at 5.4/4.5 and ~2,500 ST at 5.7. If that can be achieved on air with less than 225W then I'd consider ARL successful. It would be a nice bump in performance and efficiency since Raptor Lake would need about 350W of silicon degrading electrons to score 45,000, not to mention the cooling towers required.

Realistically I'd wager we'll see ARL CB R23 MT scores of about 43,000. It's hard to scale those theoretical scores all the way to max clocks I've found.
it’s 220w when running r23, but run fpu will be 250w. Ecore is 4.6.
 

511

Senior member
Jul 12, 2024
266
185
76
Once we get independent results, one of the things I'm really looking forward to in the comparison vs MTL is performance per watt (adjusted for IPC increase). Intel 4 vs N3B will give us good information about where Intel 3 is as well as 18A.
For this lunar lake should be a better comparisons cause desktop generally is optimized for performance rather than power efficiency
 

vanplayer

Junior Member
May 9, 2024
23
49
51
Finally, the most interesting thing for me will be the price. I dont see how its not going to be expensive as hell. If AMD is trying to keep its margins on 4nm by pricing Zen 5 where it launched, I cant imagine Intel not doing the same on a more expensive and likely lower yield process, the first CPU by Intel ever that has its compute tiles fabbed by someone other than Intel.
IIRC the whole ARL lineup's cost is almost 2 times higher than Zen5 including Strixpoint. Though Intel has larger market share to mitigate this.

If intel 3 has yield issue they can't manafacture ~570mm2 sierra forest die such big dies requires amazing yield or very very high cost

Yeah and yhat has support for mobile

Sierra Forest yield is not good, at least it's worse than expected.
 

Henry swagger

Senior member
Feb 9, 2022
494
299
106
Skymont being exactly 38% more IPC is pretty impressive. No wonder why Intel is looking to consolidate the core team, the Monts could overtake the Coves. Perhaps as early as Darkmont? Or maybe it’ll be the Wolves that get it done.

@Hulk, the e-cores boost to 4.6. So the calculation comes out to about 45800. At least 43,500 is likely.
Arctic wolf will be 12 wide.. so another big jump
 

Henry swagger

Senior member
Feb 9, 2022
494
299
106
I generally use 389/GHz for Raptor Cove R23 ST and 265/GHz for Crestmont so yeah that 392 is a good (accurate) number.

Based on Lion Cove (442) and Skymont (363) numbers, ARL should score ~45,000 MT at 5.4/4.5 and ~2,500 ST at 5.7. If that can be achieved on air with less than 225W then I'd consider ARL successful. It would be a nice bump in performance and efficiency since Raptor Lake would need about 350W of silicon degrading electrons to score 45,000, not to mention the cooling towers required.

Realistically I'd wager we'll see ARL CB R23 MT scores of about 43,000. It's hard to scale those theoretical scores all the way to max clocks I've found.
Plus arrow refresh will have higher clocks. 6ghz on n3b mayb ? 🤔
 

511

Senior member
Jul 12, 2024
266
185
76
IIRC the whole ARL lineup's cost is almost 2 times higher than Zen5 including Strixpoint. Though Intel has larger market share to mitigate this.
I agree it is expensive but I think it's around 1.5 not 2X times than strix point N3B while expensive I don't have number for 6+8 tiles area N6 is cheap IO Is cheap also the point regarding N6 was the reuse of wafers for arc orders imo i heard they ordered quite a lot for arc but it didn't sell if you can give me the die size for 6+8 tile i can roughly calculate the cost
Sierra Forest yield is not good, at least it's worse than expected.
Source ?
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |