Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 504 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
695
601
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E012 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ?12 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)



 

Attachments

  • PantherLake.png
    283.5 KB · Views: 24,000
  • LNL.png
    881.8 KB · Views: 25,481
Last edited:

OneEng2

Member
Sep 19, 2022
33
39
61
It was derisking. The more interesting point for me is that the goals of 18a are much more watered down than they were a while ago. 18a has benefits much more in like with what 20a was supposed to offer Vs intel 3.
Can you elaborate on the original vs current 18A plans? AFAIK 18A is still going to have BSPD and GAA?
 

OneEng2

Member
Sep 19, 2022
33
39
61
A new aside...

What the crap is going on with process naming these days?

When we were in the micrometer range, it was a pretty good gauge of the relative "goodness" of a process and you could mostly determine the transistor density differences between processes.

Now, I am more reminded of the P4 days when suddenly a 2.6Ghz processor got beaten by a 2.0Ghz processor from another family of chips (it may have actually been worse than this. It was some time ago ). As a result, AMD started naming their chip "model number" a number that appeared like a clock frequency .... and the clock frequency naming convention was permanently lost.

It feels very much the same now with process nodes. Ah well, can't very well do anything about it. Just have to get used to the idea I guess.

So how does 18A compare to TSMC's N3E and N3P. The reason I ask (in this thread) is because of the possibility that Intel will again punt on a process (this time 18A) and drop back to a TSMC production facility to bail out a CPU program.

Looks like TSMC isn't offering anything big in process changes until 16A in H2 2026 so largely speaking, AMD will be left with about the same transistor density and power limits until then (within about 15%). If Intel 18A is indeed not even a match in transistor density and power limits to TSMC's N3X (not to mention N2 which is slightly better in H2 2024), it doesn't seem like there is much room in the server processor space for wild growth beyond what is already planned for 2025 until around 2027.

And again, my curiosity is how Intel fares against AMD when forced to compete on an equal process node. Suddenly, the CPU architecture designs will be the most important part of the equation as the process will not be able to give Intel its traditional advantages in power and transistor count on the same die size as it has in the past.

I actually think this will make for much more interesting discussions as there are LOTS more variables to consider in how well an architecture performs as there are to how well a process performs ..... and architectural tweaks can be made much more quickly these days as process changes stretch out forever.
 
Reactions: Wolverine2349

Wolverine2349

Senior member
Oct 9, 2022
377
114
76
A new aside...

What the crap is going on with process naming these days?

When we were in the micrometer range, it was a pretty good gauge of the relative "goodness" of a process and you could mostly determine the transistor density differences between processes.

Now, I am more reminded of the P4 days when suddenly a 2.6Ghz processor got beaten by a 2.0Ghz processor from another family of chips (it may have actually been worse than this. It was some time ago ). As a result, AMD started naming their chip "model number" a number that appeared like a clock frequency .... and the clock frequency naming convention was permanently lost.

It feels very much the same now with process nodes. Ah well, can't very well do anything about it. Just have to get used to the idea I guess.

So how does 18A compare to TSMC's N3E and N3P. The reason I ask (in this thread) is because of the possibility that Intel will again punt on a process (this time 18A) and drop back to a TSMC production facility to bail out a CPU program.

Looks like TSMC isn't offering anything big in process changes until 16A in H2 2026 so largely speaking, AMD will be left with about the same transistor density and power limits until then (within about 15%). If Intel 18A is indeed not even a match in transistor density and power limits to TSMC's N3X (not to mention N2 which is slightly better in H2 2024), it doesn't seem like there is much room in the server processor space for wild growth beyond what is already planned for 2025 until around 2027.

And again, my curiosity is how Intel fares against AMD when forced to compete on an equal process node. Suddenly, the CPU architecture designs will be the most important part of the equation as the process will not be able to give Intel its traditional advantages in power and transistor count on the same die size as it has in the past.

I actually think this will make for much more interesting discussions as there are LOTS more variables to consider in how well an architecture performs as there are to how well a process performs ..... and architectural tweaks can be made much more quickly these days as process changes stretch out forever.

Intel still has the better processor design. I mean even on inferior 10nm Inel own node, Golden Cove spanked Zen 3 in IPC and clocks. Even at par with Zen 4 in IPC or slightly ahead./

AMD just 8 core CCXs and no more cores per CCX even on HEDT Threadripper and EPYC Intel on Workstation and Server space can get more than 8 big cores on a single mesh or tile unlike AMD. Intel can do up to 12 P core or 16 e-core on current design as 4 e-cores take space of one P core.

And with rapid insane improvement of Skymont e-cores to have Raptor Cove IPC the Skymont cores already have IPC (6-9%) ahead of Zen 4 though a 500-900MHz clock speed deficiency compared to Zen 4 and 5. But Lion Cove has a 15% IPC uplift over Raptor Cove and can match or exceed Zen 4 and 5 clocks.

Intel has better CPU design.

Issue is can Intel just let it go with there Foundries. They are having trouble with their foundries and its just not working no producing good reliable yields on 10nm and beyond except maybe Alder Lake and a few mobile things before ALD? They need to just let it go and be like Apple and NVIDIA and AMD and let TSMC and oithers do it all for them whiule they design CPUs.

AMD is not going much of anywhere especially in mobile space with Intel Lunar Lake spanking it and beyond 8 cores in desktop space except for a VM hosting machine or non latency sensitive productivity forget about it with their max of 8 core CCX/CCDs which appears to not be changing ever.
 
Reactions: SiliconFly

Wolverine2349

Senior member
Oct 9, 2022
377
114
76
Corroding CPUs is a classic landmark of stellar CPU design!

The Raptopr Lake design was a trianwreck. Stability nightmare. But the ring bus and core to core to core latency beyond 8 cores is superior. Alder Lake was pretty good They just need better foundries to make them.

Their foundries are a mess.

What does AMD have? They cannot go beyond 8 cores on a single CCX. Their infinity fabric is limiting. though yes AMD currnet offering is so much better than Intel's because it does not corrode. But once Arrow Lake comes out, that likely changes.
 

SiliconFly

Golden Member
Mar 10, 2023
1,472
832
96
Corroding CPUs is a classic landmark of stellar CPU design!
And Zen 5% is the hallmark of stellar CPU design!

Kudos to their design team. After 2 years of development, they have only 5% to show for it.

The Raptopr Lake design was a trianwreck. Stability nightmare. But the ring bus and core to core to core latency beyond 8 cores is superior. Alder Lake was pretty good They just need better foundries to make them.

Their foundries are a mess.

What does AMD have? They cannot go beyond 8 cores on a single CCX. Their infinity fabric is limiting. though yes AMD currnet offering is so much better than Intel's because it does not corrode. But once Arrow Lake comes out, that likely changes.
Very true. Their foundries are a mess. But they're not dependent on their foundries anymore. Most are outsourced. And with the kinda silicon volume Intel handles, they'll always have access to better TSMC nodes compared to AMD.

AMD's node advantage is history. AMD's radical designs are history. AMD now has to be content being runner up.
 
Last edited:

alcoholbob

Diamond Member
May 24, 2005
6,304
354
126
The Raptopr Lake design was a trianwreck. Stability nightmare. But the ring bus and core to core to core latency beyond 8 cores is superior. Alder Lake was pretty good They just need better foundries to make them.

Their foundries are a mess.

What does AMD have? They cannot go beyond 8 cores on a single CCX. Their infinity fabric is limiting. though yes AMD currnet offering is so much better than Intel's because it does not corrode. But once Arrow Lake comes out, that likely changes.

Supposedly the Raptor Lake ringbus was never really designed to deal with 16 E-Cores, and that's why there's a segregated voltage rail in Arrow Lake for the e-cores. We'll see how well ARL is faring after giving it some months after launch I suppose.

With AMD they absolutely can go beyond 8 cores per CCX, they just have no interest in offering anything but the cheapest scraps of server reject chips to consumers so they can maximize their margins. Even Zen 4 server CPUs had configurations with 16 core CCDs, and so will Zen 5 server parts. This is entirely a function of AMD milking the consumer.
 
Last edited:
Reactions: Tlh97 and KompuKare

Hitman928

Diamond Member
Apr 15, 2012
6,058
10,402
136
Supposedly the Raptor Lake ringbus was never really designed to deal with 16 E-Cores, and that's why there's a segregated voltage rail in Arrow Lake for the e-cores. We'll see how well ARL is faring after giving it some months after launch I suppose.

With AMD they absolutely can go beyond 8 cores per CCX, they just have no interest in offering anything but the cheapest scraps of server reject chips to consumers so they can maximize their margins. Even Zen 4 server CPUs had configurations with 16 core CCDs, and so will Zen 5 server parts. This is entirely a function of AMD milking the consumer.

Those 16 core CCDs were Zen 5c cores.
 

inquiss

Member
Oct 13, 2010
183
264
136
Can you elaborate on the original vs current 18A plans? AFAIK 18A is still going to have BSPD and GAA?
The performance and density targets for 18a have changed. Compare what intel used to say for 18a over 20a and 20a over 3, so two shrinks to what it is now saying 18a will be over 3 and the improvements that 18a should have had are now much less, closer to what they used to say 20a was over 3
 
Reactions: KompuKare

DavidC1

Senior member
Dec 29, 2023
782
1,241
96
The Lenovo Yoga is tested with 1080p resolution by the way, which most current Lunarlake devices won't ship with.

Most will be using a 1440p or even higher OLED display and be a power hog, eliminating most of the advantages the platform has.
Lunar lake is ultra thin notebook chip, PL2=PL1? What are you talking about? This is not desktop.
There's not necessarily a set PL1 TDP on laptops. It is pretty loose. My Yoga is 5W PL1, but it goes up to 7W PL1 on more demanding scenarios. And it would change depending on Tablet Mode and Clamshell Mode.

Unlike what the spec sheet says, anywhere between 17W and 30W is likely up for use by the manufacturer.

It may be confusing, but having it fixed isn't really an advantage, because it limits what kind of devices and cooling and form factors it can be used in.
 

9949asd

Member
Jul 12, 2024
75
39
51
The Lenovo Yoga is tested with 1080p resolution by the way, which most current Lunarlake devices won't ship with.

Most will be using a 1440p or even higher OLED display and be a power hog, eliminating most of the advantages the platform has.

There's not necessarily a set PL1 TDP on laptops. It is pretty loose. My Yoga is 5W PL1, but it goes up to 7W PL1 on more demanding scenarios. And it would change depending on Tablet Mode and Clamshell Mode.

Unlike what the spec sheet says, anywhere between 17W and 30W is likely up for use by the manufacturer.

It may be confusing, but having it fixed isn't really an advantage, because it limits what kind of devices and cooling and form factors it can be used in.
Of course pl1 is not always 17w, it’s means the limit is 17w, the real power consumption depends on the task.
 

SiliconFly

Golden Member
Mar 10, 2023
1,472
832
96
The performance and density targets for 18a have changed. Compare what intel used to say for 18a over 20a and 20a over 3, so two shrinks to what it is now saying 18a will be over 3 and the improvements that 18a should have had are now much less, closer to what they used to say 20a was over 3
It’s not possible to change PPA once PDKs are in place. That too this late in the cycle.

Foundry customers can’t just ditch the existing PDKs and switch to a new one just cos the foundry decided to suddenly the change ita mind.
 

Wolverine2349

Senior member
Oct 9, 2022
377
114
76
Those 16 core CCDs were Zen 5c cores.
Yes exactly. AMD has no more than 8 normal strong cores on a single CCX/CCD.

And same with Zen 6 it appears.

Only 16 Zen 6C cores on a CCX. They may have 32 even weaker c cores on a CCD per rumors. But only 8 Big cores on a CCX.

And still 8 normally cores per CCX and the stupid severe cross CCX/CCD latency penalty.

AMND has very good 8 core parts since Zen 3, but they really need to get more than 8 big cores on a CCX. Intel has a better design in that regard as they have way more than 8 big cores on a single tile or mesh with their high end server and enterprise Saphire rapids and beyond.
 
Last edited:

poke01

Platinum Member
Mar 8, 2022
2,007
2,546
106
The Lenovo Yoga is tested with 1080p resolution by the way, which most current Lunarlake devices won't ship with.
If that’s the case that battery life test is less meaningful, because the Air 15” has a 1664p resolution.

So using a 1200p resolution on the yoga is what you expect from first party tests..
 

511

Senior member
Jul 12, 2024
302
201
76
It’s not possible to change PPA once PDKs are in place. That too this late in the cycle.

Foundry customers can’t just ditch the existing PDKs and switch to a new one just cos the foundry decided to suddenly the change ita mind.
Well you can TSMC does this you can simply upgrade design from say N5 -> N4 iirc without much trouble
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |