Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 56 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
686
576
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E08 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Arrow Lake Refresh (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXDesktop OnlyMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2025 ?Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E8P + 32E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ??8 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)

 

Attachments

  • PantherLake.png
    283.5 KB · Views: 23,984
  • LNL.png
    881.8 KB · Views: 25,456
Last edited:
Nov 8, 2022
43
77
51
No question that A18 is in great shape, so is I3, of course the recent intel presentation is a best case scenario, but its based on the facts on factory floor, the core design is possibly less clear than the transistor nodes, and QUALCOMM is a costumer of 18a, and they are the one who are sampling it with PDKs, it might be delayed with 2 quarters, but its definitely in great shape.

- Says everyone with honest inside knowledge.
 
Reactions: techinvestor1

eek2121

Diamond Member
Aug 2, 2005
3,053
4,281
136
Story time, because most of you all (I won't say ya'll or whatever despite being raised in a southeastern state) need a reality check. I was born into poverty. Back when Cannon Lake was introduced I was bankrupt. Oh and I almost died. Literally. The day after my bankruptcy proceeding I landed in the hospital in the ICU for unrelated causes. My family was called in to say goodbye. They were told I wasn't going to live. Family flew in from all across the US.

Today, I am in the top 10% of earners. I did not die and I am killing it every single year. I am also in the best physical shape I've been in since a teenager.

Never underestimate anyone. That includes any company as well. If past performance were indicative of the future, I should be long dead, buried, and my family, broke. Skepticism is good. Healthy. Allowing it to jade your views is not, especially when a company (Intel) still manages to be competitive with another (AMD) despite the latter company having access to superior technology.

Note that Apple was once very close to bankruptcy as well.
 

DrMrLordX

Lifer
Apr 27, 2000
21,813
11,168
136
Story time, because most of you all (I won't say ya'll or whatever despite being raised in a southeastern state) need a reality check. I was born into poverty. Back when Cannon Lake was introduced I was bankrupt. Oh and I almost died. Literally. The day after my bankruptcy proceeding I landed in the hospital in the ICU for unrelated causes. My family was called in to say goodbye. They were told I wasn't going to live. Family flew in from all across the US.

Today, I am in the top 10% of earners. I did not die and I am killing it every single year. I am also in the best physical shape I've been in since a teenager.

Never underestimate anyone. That includes any company as well. If past performance were indicative of the future, I should be long dead, buried, and my family, broke. Skepticism is good. Healthy. Allowing it to jade your views is not, especially when a company (Intel) still manages to be competitive with another (AMD) despite the latter company having access to superior technology.

Note that Apple was once very close to bankruptcy as well.

Glad you aren't dead or broke. Yeah, things can turn around, but it's a lot easier to turn around a single life than the Titanic. Intel has a lot of momentum, for good or for ill.
 
Reactions: Mopetar

A///

Diamond Member
Feb 24, 2017
4,352
3,155
136
I myself have felt more revitalized and human after electrocuting myself a few times now over the years. Possibly more, you tend to lose count of the stupid stuff you did the older you get. some of you, mainly mclord, need to stop being worry warts.

I still have faith in Pat "Guns A-blazin gunslinger" gelsinger.
 
Reactions: igor_kavinski

A///

Diamond Member
Feb 24, 2017
4,352
3,155
136
I caught something this morning on google but can't find it now. Did intel get an exclusive 2 year access to a new type of asml euv machine?
 

Geddagod

Golden Member
Dec 28, 2021
1,214
1,177
106
I caught something this morning on google but can't find it now. Did intel get an exclusive 2 year access to a new type of asml euv machine?
I don't think it's exclusive, nor do I think it's for 2 years. I heard Intel is getting high NA EUV machines first, but I'm also pretty sure those machines are going to be shipped out to TSMC and Samsung not too long after.
Intel 18A uses high NA EUV , and it's being used in Clearwater Forest in 2025 (prob late). IIRC Intel 18A is also designed for using regular EUV machines too, as a safeguard against delays.
TSMC 2nm also uses high NA EUV, and that's supposed to be seeing mass production in late 2025 as well. Products 2026 I think.
Something interesting is that high NA apparently cuts max die size in half, so we may be looking a smaller chiplet approach from Intel, more reflecting AMD's current approach.
 

Geddagod

Golden Member
Dec 28, 2021
1,214
1,177
106
If you're not talking about high NA though, idk. I didn't see anything about that.
But I still doubt it's true, isn't TSMC still the far larger customer by far, even Samsung should have more EUV machines than Intel no? And stock wise, I think TSMC still owns more shares and investment in ASML than Intel does.
 

A///

Diamond Member
Feb 24, 2017
4,352
3,155
136
I'll see if I can find it but it was what was in the results page. It might have been one of those old articles that gets indexed with 2022 in the listing but not actually 2022.
 
Reactions: Geddagod

mikk

Diamond Member
May 15, 2012
4,175
2,211
136
I don't think it's exclusive, nor do I think it's for 2 years. I heard Intel is getting high NA EUV machines first, but I'm also pretty sure those machines are going to be shipped out to TSMC and Samsung not too long after.
Intel 18A uses high NA EUV , and it's being used in Clearwater Forest in 2025 (prob late). IIRC Intel 18A is also designed for using regular EUV machines too, as a safeguard against delays.


I don't think they use High NA EUV on 18A.
 

Attachments

  • 18A.png
    428.1 KB · Views: 28

A///

Diamond Member
Feb 24, 2017
4,352
3,155
136
It might have been early access to the asml hardware not exclusivity. I can't imagine why asml would grant Intel 2 years of exclusivity in the first place and no one questioned how odd that would be including myself. I've spent hours looking at Google and browser history to make sense of what I think I saw. I'm beginning to think I misread the result page. @mikk's post is referencing an intel and asml announcement regarding high na twinscan systems to be deployed in 2025. Intel's ireland fab has gotten or is still getting euv machines that are getting deployed this year for mtl according to the press report I just read.

That's fine and dandy but to repeat @DrMrLordX's quaint cynicism, it depends on if Intel can walk the walk and not simply talk the talk. Pat "Guns A'blazin Gunslinger" Gelsinger can get up on stage and speak of God and dance all he wants but he and his team (Intel from top to bottom) need to hit their goals otherwise talk is cheap and worth nothing especially when you've got a red colored Pacman (AMD) chomping everything behind you and will soon bite you in the bum if you don't pick up slack and pump those legs, which is a metaphor for delivering on promises with a good product, not trash.
 
Jul 27, 2020
18,022
11,753
116
It might have been early access to the asml hardware not exclusivity.


Second link mentions that Intel will receive the scanner first but TSMC will also get it in 2024. Intel will have production silicon in 2025, if Pat's prayers are answered.
 
Reactions: lightmanek

A///

Diamond Member
Feb 24, 2017
4,352
3,155
136


Second link mentions that Intel will receive the scanner first but it will be TSMC that will have production silicon in 2024. Intel will follow in 2025, if Pat's prayers are answered.
That probably was it. I know I was looking at TSMC announcements regarding their N2 and came across that. I either said it a post ago or didn't I forget but I didn't question how absurd it read because Intel has yet to prove they can mnaufacture on their lower/newer nodes at present and why would they get first dibs on it when tsmc would benefit more. I'm not suggesting asml picks and chooses who gets machines first, but what I am saying is just because Intel was a major backer of asml doesn't mean they get what they ask for when those machines would largely go unused to their full abilities if they went to intel and not tsmc. I hope this makes sense.
 
Jul 27, 2020
18,022
11,753
116
I'm not suggesting asml picks and chooses who gets machines first, but what I am saying is just because Intel was a major backer of asml doesn't mean they get what they ask for when those machines would largely go unused to their full abilities if they went to intel and not tsmc. I hope this makes sense.
Intel is collaborating with them on the machines so I guess their input on how the machines work is valuable to ASML and of course, Intel must have shown them a little more money than TSMC. It seems TSMC will use the machines mainly for research purposes in 2024. That means they might actually be a bit behind Intel in understanding how the High NA machines work or how the machines may serve them better.
 

Geddagod

Golden Member
Dec 28, 2021
1,214
1,177
106
I don't think they use High NA EUV on 18A.
In this Anandtech article it claims they are
Not listed on the diagram above, but Intel is expecting to have an 18A process in 2025. 18A will be using ASML’s latest EUV machines, known as High-NA machines, which are capable of more accurate photolithography.
And after some digging....
you don't even have to believe Ian, here's a quote from the head of Intel's node development, Ann Kelleher herself
Correct. We're aiming to introduce it (High NA) in more in 2025, and we're setting up our processes so that if for some reason High-NA is not ready, then we will be able to continue without it. As soon as High-NA is ready, then we'll be able to put it into our product and use it.
Essentially high NA EUV is going to intercept what ever node they can use it in. I'm assuming they have preparations for Intel 18A with and without High-NA, and probably the same for 16A. Intel 18A got pushed up to 2H 2024 for HVM ready, but we won't really be seeing products on Intel 18A until 2025.
 
Reactions: techinvestor1

A///

Diamond Member
Feb 24, 2017
4,352
3,155
136
Intel is collaborating with them on the machines so I guess their input on how the machines work is valuable to ASML and of course, Intel must have shown them a little more money than TSMC. It seems TSMC will use the machines mainly for research purposes in 2024. That means they might actually be a bit behind Intel in understanding how the High NA machines work or how the machines may serve them better.
never mind.

I read it wrong. TSMC will get it to begin incorporation, Intel won't be getting them online until 2025 but taking delivery first.These would be for arrow lake if not lunar/panther/craplake.
 
Jul 27, 2020
18,022
11,753
116

That last comment there. High NA needs the die size to be small to be effective? That rules Intel out, doesn't it? That's the one trouble they haven't been able to sort out. Their die sizes are too large.

And this interview spells doom for future innovation in this space: https://bits-chips.nl/artikel/hyper-na-after-high-na-asml-cto-van-den-brink-isnt-convinced/
 

Saylick

Diamond Member
Sep 10, 2012
3,394
7,159
136

That last comment there. High NA needs the die size to be small to be effective? That rules Intel out, doesn't it? That's the one trouble they haven't been able to sort out. Their die sizes are too large.

And this interview spells doom for future innovation in this space: https://bits-chips.nl/artikel/hyper-na-after-high-na-asml-cto-van-den-brink-isnt-convinced/
It's not that High NA needs a small die size to be effective, it's more that High NA EUV requires an anamorphic mirror which limits the size of the reticle to half of what "normal" NA EUV can use. Asianometry explains this far better than I could ever do myself:
 

Doug S

Platinum Member
Feb 8, 2020
2,511
4,117
136

That last comment there. High NA needs the die size to be small to be effective? That rules Intel out, doesn't it? That's the one trouble they haven't been able to sort out. Their die sizes are too large.

And this interview spells doom for future innovation in this space: https://bits-chips.nl/artikel/hyper-na-after-high-na-asml-cto-van-den-brink-isnt-convinced/


As Saylick explains it is just halving the reticle size. Instead of 26x33 it is 13x33 IIRC which while still 400+ mm^2 is pretty rectangular so the effective max for some designs is probably closer to 300 mm^2.

Everyone has known this is coming for years, and is one of the several reasons driving everyone to chiplet based designs.
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |