Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 68 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
686
576
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E08 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Arrow Lake Refresh (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXDesktop OnlyMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2025 ?Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E8P + 32E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ??8 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)

 

Attachments

  • PantherLake.png
    283.5 KB · Views: 23,984
  • LNL.png
    881.8 KB · Views: 25,456
Last edited:

eek2121

Diamond Member
Aug 2, 2005
3,063
4,299
136
"GPU and other bits" is the majority of the silicon, if you ignore the base die. There's >100mm2 of N6, and another good chunk of N5. That cost is going to dwarf the compute die.
Of course it will, but the entire package will still cost less than it costs AMD for a typical Zen 4 chip.
 

BorisTheBlade82

Senior member
May 1, 2020
672
1,027
136
MLID becoming more realistic with the claimed IPC improvements in Meteor Lake? Now he says MTL gains "up to" 10% over RPL-R with some tests in the mid single digits range. It's more realistic right?

There are some other claims. Current boost speed projections are 5.2 Ghz for 28W and 5.4 Ghz for 45W. Another claim: MTL is projected to consume 30-45% less energy than RPL-R at same performance below 45W and it launches between August-October.

As for Arrow Lake he says that both mobile and desktop will launch in Q4 2024. Mobile uses 20A whereas desktop uses TSMC N3+N3E. Recent 6+8 vs 6+8 benchmarks show ARL with 30% higher ST and 40% higher mixed average over MTL.
Yep, regarding MTL that sounds much more down to earth.
 

Geddagod

Golden Member
Dec 28, 2021
1,253
1,245
106
MLID becoming more realistic with the claimed IPC improvements in Meteor Lake? Now he says MTL gains "up to" 10% over RPL-R with some tests in the mid single digits range. It's more realistic right?

There are some other claims. Current boost speed projections are 5.2 Ghz for 28W and 5.4 Ghz for 45W. Another claim: MTL is projected to consume 30-45% less energy than RPL-R at same performance below 45W and it launches between August-October.

As for Arrow Lake he says that both mobile and desktop will launch in Q4 2024. Mobile uses 20A whereas desktop uses TSMC N3+N3E. Recent 6+8 vs 6+8 benchmarks show ARL with 30% higher ST and 40% higher mixed average over MTL.
10% might still be a bit high, but then again he did say it was "up to" and avrg was single digits, so ye seems alright.
Funny though, he claims it's because RWC didn't reach expected IPC targets. Uh huh.
5.4 GHz ST max is reasonable imo. A good sign even for the node considering Intel's 'new' nodes max ST frequency went down for both 14 and 10nm.
30-45% less energy for the same performance is also a reasonable estimate I suppose. To put it into perspective, TGL vs CML was a ~25-30% gain (8c parts).
ARL 2H 2024 should be expected. Intel confirmed ARL is hitting their launch by 2024 timeline. Depending on how TSMC N3 is, they might even push for a 2Q launch to be able to compete against Zen 5 as soon as possible.
I would be a bit surprised about how they are benchmarking ARL right now. I expect ARL to just have been turned on, and maybe Intel announced that in a couple days during their Intel vision event. I also expect clocks to be pretty low right now, so unless they are clock-equalized I don't see how ARL is beating out MTL chips already by such large margins.
 

Geddagod

Golden Member
Dec 28, 2021
1,253
1,245
106
10% might still be a bit high, but then again he did say it was "up to" and avrg was single digits, so ye seems alright.
Funny though, he claims it's because RWC didn't reach expected IPC targets. Uh huh.
5.4 GHz ST max is reasonable imo. A good sign even for the node considering Intel's 'new' nodes max ST frequency went down for both 14 and 10nm.
30-45% less energy for the same performance is also a reasonable estimate I suppose. To put it into perspective, TGL vs CML was a ~25-30% gain (8c parts).
ARL 2H 2024 should be expected. Intel confirmed ARL is hitting their launch by 2024 timeline. Depending on how TSMC N3 is, they might even push for a 2Q launch to be able to compete against Zen 5 as soon as possible.
I would be a bit surprised about how they are benchmarking ARL right now. I expect ARL to just have been turned on, and maybe Intel announced that in a couple days during their Intel vision event. I also expect clocks to be pretty low right now, so unless they are clock-equalized I don't see how ARL is beating out MTL chips already by such large margins.
Also just want to add it would be funny if his IPC estimates for GNR is accurate because it uses LNC lol
 

Hulk

Diamond Member
Oct 9, 1999
4,385
2,270
136
I watched that MLID video and actually I think everything he said regarding the Intel timeline and expectations are relatively reasonable. Also the timeline actually makes sense from the perspective of where each part fits into the stack. Specifically why Raptor Refresh will exist and how MTL/ARL compatibility will spur more MTL design wins that it would purely based on out of the box performance.

However I don't think ARL will show 30% IPC, more like 20%. Also RPL-R single core clocks are meaningless except for the fact that nC clocks can be inferred from them. So 6.2GHz from the refresh could mean 5.8GHc nC. 25% power reduction from Raptor to Raptor Refresh at ISO frequency would be nice if true but I'm having a hard time believing that as well.
 

H433x0n

Golden Member
Mar 15, 2023
1,097
1,324
96
Let's give Intel the benefit of the doubt and wet our floors with ample amount of drool.
30-40% (not 30-40% IPC) performance gains isn’t that crazy, it’s happened multiple times on a big node jump. Just look at Golden Cove from Skylake era. Zen 3 was a 30-40% performance jump in some tasks too.
 

Anhiel

Member
May 12, 2022
69
28
61
MLID becoming more realistic with the claimed IPC improvements in Meteor Lake? Now he says MTL gains "up to" 10% over RPL-R with some tests in the mid single digits range. It's more realistic right?

There are some other claims. Current boost speed projections are 5.2 Ghz for 28W and 5.4 Ghz for 45W. Another claim: MTL is projected to consume 30-45% less energy than RPL-R at same performance below 45W and it launches between August-October.

As for Arrow Lake he says that both mobile and desktop will launch in Q4 2024. Mobile uses 20A whereas desktop uses TSMC N3+N3E. Recent 6+8 vs 6+8 benchmarks show ARL with 30% higher ST and 40% higher mixed average over MTL.

Now, these raw and undiluted data points look... perfect. Roughly 2x2% off from my own estimations posted before.
Things've only changed for MTL but not really ARL. It's a ladder system of dependencies so things do carry over.
Either way, it gives a clearer picture, yet, these perfect numbers make me wary of the last time perfect numbers became a disaster. I'm leaning toward these being more reliable judging by how so many fall into place now.
But there seems to be one contradiction here with Intel's measurement. Welp, I'll leave it at that.
Anyhow, it seems ARL will come with L4 die with backside power delivery. This is probably the biggest change in metric from before. Welp, currently this won't count practically yet other than for games or large data sets etc.
Since this only slightly differ from before my earlier conclusion remain the same.
 

eek2121

Diamond Member
Aug 2, 2005
3,063
4,299
136
30-40% (not 30-40% IPC) performance gains isn’t that crazy, it’s happened multiple times on a big node jump. Just look at Golden Cove from Skylake era. Zen 3 was a 30-40% performance jump in some tasks too.
Not in a single generation. Did you forget Rocket/Tiger Lake? Also, Zen 3 had both an IPC and clock speed advantage.
Now, these raw and undiluted data points look... perfect. Roughly 2x2% off from my own estimations posted before.
Things've only changed for MTL but not really ARL. It's a ladder system of dependencies so things do carry over.
Either way, it gives a clearer picture, yet, these perfect numbers make me wary of the last time perfect numbers became a disaster. I'm leaning toward these being more reliable judging by how so many fall into place now.
But there seems to be one contradiction here with Intel's measurement. Welp, I'll leave it at that.
Anyhow, it seems ARL will come with L4 die with backside power delivery. This is probably the biggest change in metric from before. Welp, currently this won't count practically yet other than for games or large data sets etc.
Since this only slightly differ from before my earlier conclusion remain the same.

Meteor Lake consuming 40% less power is a given. It is on a smaller process. The question is whether Intel focuses on perf/watt moving forward. Their latest chips are incredibly inefficient. If they can pull off another Core 2 they will be able to turn things around.
 
Reactions: A///

H433x0n

Golden Member
Mar 15, 2023
1,097
1,324
96
Not in a single generation. Did you forget Rocket/Tiger Lake? Also, Zen 3 had both an IPC and clock speed advantage.
Going from Comet Lake -> Alder Lake is roughly equivalent of what we’d be getting with Raptor Lake -> Arrow Lake (with MTL being that intermediate step).

That was a sizable jump from April 2020 to November 2021.
 

soresu

Diamond Member
Dec 19, 2014
3,024
2,269
136
Specifically why Raptor Refresh will exist and how MTL/ARL compatibility will spur more MTL design wins that it would purely based on out of the box performance
Past events have shown us that no matter competitive AMD HW is at any given time that Intel has zero problems getting design wins one way or another.

What they can't get through HW merit they get through contra revenue strategies, because they have the extreme financial grunt to do so, while AMD has never really been in the position to play that card, and likely never will at this point unless Intel somehow makes a truly catastrophic misstep.
 

soresu

Diamond Member
Dec 19, 2014
3,024
2,269
136
Intel doesn't do that anymore
You are only fooling yourself if you believe that.

They've been doing it from day one in the Chromebook market.

Doesn't it strike you as odd that so few ARM based Chromebooks exist by comparison?

The reason is very simple - Intel priced most of the ARM vendors out of the market.

They might not be pricing as aggressively in periods where no ARM vendors are making an effort, but you can bet that they are doing it the moment Qualcomm or Mediatek start making announcements to that effect.

This is especially obvious given the fact that Chrome OS supports Android apps which overwhelmingly work better on ARM CPUs due to ARM's near total dominance of the Android device market.
 

jpiniero

Lifer
Oct 1, 2010
14,871
5,473
136
You are only fooling yourself if you believe that.

They've been doing it from day one in the Chromebook market.

Doesn't it strike you as odd that so few ARM based Chromebooks exist by comparison?

It's tough to compete with a fully depreciated fab in a market where performance doesn't matter. Esp with products fabbed at TSMC, given the high prices.

Even 10 nm, with nobody wanting Sapphire Rapids, there should be plenty of fab time to pump out cheap Jasper Lake and still be profitable.
 
Reactions: Ajay and Hulk

soresu

Diamond Member
Dec 19, 2014
3,024
2,269
136
It's tough to compete with a fully depreciated fab in a market where performance doesn't matter. Esp with products fabbed at TSMC, given the high prices.
That too, but it's only part of the problem.

You can't effectively compete with a player willing to sacrifice most of the profits to keep competitors out of the game completely - not unless you have deep pockets of your own, or an entrenched starting position as the ARM vendors had with the Android segments.
 

soresu

Diamond Member
Dec 19, 2014
3,024
2,269
136
Tiger Lake? Not sure I understand what you're saying here...
I'm gonna go out on a limb and assume they are referring to Intel's big Little/P+E implementation using Cove/Mont cores.

Arguably Intel owned themselves as much as anyone else by disabling the AVX512 feature with ADL that they had spent years touting despite it's throttling issues - thus removing a performance feature that many software devs had specifically coded for even in the consumer market.

One step forward, 2 steps back 😅
 

coercitiv

Diamond Member
Jan 24, 2014
6,454
13,089
136
I'm gonna go out on a limb and assume they are referring to Intel's big Little/P+E implementation using Cove/Mont cores.
No, he's referring to TGL. There's still an abundance of cheap TGL machines on the market. That's not that big of impediment for AMD though, as their product mix still contains many Lucienne, Cezanne and Barcelo products, some of which are directly priced against TGL laptops. The situation is similar to desktop, where AM4 is still around.

On top of that, ADL can also come pretty cheap in some machines, suggesting Intel is indeed attempting to fill the channel with discounted silicon.
 
Reactions: soresu

eek2121

Diamond Member
Aug 2, 2005
3,063
4,299
136
No, he's referring to TGL. There's still an abundance of cheap TGL machines on the market. That's not that big of impediment for AMD though, as their product mix still contains many Lucienne, Cezanne and Barcelo products, some of which are directly priced against TGL laptops. The situation is similar to desktop, where AM4 is still around.

On top of that, ADL can also come pretty cheap in some machines, suggesting Intel is indeed attempting to fill the channel with discounted silicon.

Hey, Tiger Lake isn’t a bad chip. If they had been able to push that out on desktop instead of Rocket Lake, AMD would have had a harder time winning marketshare.
 
Reactions: BorisTheBlade82

coercitiv

Diamond Member
Jan 24, 2014
6,454
13,089
136
I was just implying that flooding the market with cheap tiger lake chips isn’t necessarily a bad thing.
For consumers it's nice. For Intel folk... debatable.
Very unfortunate news, but massive layoffs at Intel coming! Intel's Datacenter and Client computing groups are receiving ~10% budget cuts It's up to divisions to figure out how to cut Given fixed costs, means as much as 20% layoffs in groups LTD (process node) unaffected
 

Geddagod

Golden Member
Dec 28, 2021
1,253
1,245
106
For consumers it's nice. For Intel folk... debatable.

Well, more evidence of the 'unlimited budget' given to the fab side.
I mean everyone (especially the people working there) should hope this rumor is false, but if it is...
I do hope they don't cut out engineers and instead more of the bloated management I hear often when people talk about Intel.
I also hope the cuts come more out of the client side, considering that client, tbh, has been doing much better than what server has been able to do. Seems like it would hurt less if the cuts come out of client.
However this seems like especially poor timing as well when you consider Intel has diverged into big and little cores, sounds like a lot more work supporting two archs in many skus. However the move to chiplets in client, where you can have a lot of design reuse, could also reduce total engineering hours needed for a new product.
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |