Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 82 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
686
576
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E08 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Arrow Lake Refresh (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXDesktop OnlyMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2025 ?Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E8P + 32E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ??8 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)

 

Attachments

  • PantherLake.png
    283.5 KB · Views: 23,984
  • LNL.png
    881.8 KB · Views: 25,456
Last edited:

Geddagod

Golden Member
Dec 28, 2021
1,214
1,178
106
The latest roadmap on Tom's Hardware that goes to 2025 does not have Lunar Lake on it:

Intel claimed LNL was a 2024 product in some random slide, and then also in the last earnings call.
Pat : "ramping Meteor Lake in second half '23 and launching Lunar Lake and Arrow Lake in 2024"
 

Thunder 57

Platinum Member
Aug 19, 2007
2,814
4,108
136
Intel claimed LNL was a 2024 product in some random slide, and then also in the last earnings call.
Pat : "ramping Meteor Lake in second half '23 and launching Lunar Lake and Arrow Lake in 2024"

Do you think they can pull off a followup to Rapter Lake Refreseh and Meteror Lake in about 12 months? And if so, they might not want to depending on the competition.
 

Geddagod

Golden Member
Dec 28, 2021
1,214
1,178
106
Do you think they can pull off a followup to Rapter Lake Refreseh and Meteror Lake in about 12 months? And if so, they might not want to depending on the competition.
Intel has pretty consistently followed an yearly schedule for client
If MTL turns out to launch on time with decent volume, confidence with ARL should be a lot higher, considering the shift in design won't be too large. ARL desktop should be free from Intel node problems all together, so as long as the design team has their stuff in order. All in all I think it's 70/30 they launch ARL/LNL in time with no problems. If MTL turns out well, 85/15.
And they would almost certainly want to launch ARL out as soon as possible to compete with Zen 5. Though I also suspect they will be suffering from high costs with using TSMC 3nm rather than 5/4nm like AMD is doing. But that seems to be the trend with Intel as a whole in the near future - competitive products but more expensive to manufacture.
 

Joe NYC

Platinum Member
Jun 26, 2021
2,334
2,948
106
Intel claimed LNL was a 2024 product in some random slide, and then also in the last earnings call.
Pat : "ramping Meteor Lake in second half '23 and launching Lunar Lake and Arrow Lake in 2024"
Looks like that roadmap is coming from a Chinese leaker, and he just may not be aware of Lunar Lake.
 

Thunder 57

Platinum Member
Aug 19, 2007
2,814
4,108
136
Intel has pretty consistently followed an yearly schedule for client
If MTL turns out to launch on time with decent volume, confidence with ARL should be a lot higher, considering the shift in design won't be too large. ARL desktop should be free from Intel node problems all together, so as long as the design team has their stuff in order. All in all I think it's 70/30 they launch ARL/LNL in time with no problems. If MTL turns out well, 85/15.
And they would almost certainly want to launch ARL out as soon as possible to compete with Zen 5. Though I also suspect they will be suffering from high costs with using TSMC 3nm rather than 5/4nm like AMD is doing. But that seems to be the trend with Intel as a whole in the near future - competitive products but more expensive to manufacture.

I know many say that ARL will be N3, but I really don't expect Intel to fab their CPU's anywhere else. I think they would see that as a major dissappointment and affect morale and investor confidence. Not saying you implied that but I have seen it said here.




To me this could very well mean the CPU is Intel 4, the AI is 20A, and the graphics are N3. Of course 20A isn't ready yet so who knows what they might do for Meteor Lake. Also, is Intel not using Intel 3 on their client products?

Then again, I don't think Intel 4 is ready for Meteor Lake either and maybe I'm just way off. Or maybe a newer/better slide exists.

EDIT

This slide makes more sense, showing Intel 4 and External for Meteor Lake, than 20A and Externel for Arrow Lake. I still don't see how Intel skips right over Intel 3 though. I just saw another one that only shows that for Xeons though.

Where would Intel 4 appear on Meteor Lake/Arrow Lake if not the CPU tile?
 
Last edited:

H433x0n

Golden Member
Mar 15, 2023
1,074
1,282
96
Intel has pretty consistently followed an yearly schedule for client
If MTL turns out to launch on time with decent volume, confidence with ARL should be a lot higher, considering the shift in design won't be too large. ARL desktop should be free from Intel node problems all together, so as long as the design team has their stuff in order. All in all I think it's 70/30 they launch ARL/LNL in time with no problems. If MTL turns out well, 85/15.
And they would almost certainly want to launch ARL out as soon as possible to compete with Zen 5. Though I also suspect they will be suffering from high costs with using TSMC 3nm rather than 5/4nm like AMD is doing. But that seems to be the trend with Intel as a whole in the near future - competitive products but more expensive to manufacture.
Genuine question - Has intel ever not released a product once QS samples have been delivered? There’s multiple vendors with finished MTL laptop designs and QS MTL silicon out in the wild.
 

Joe NYC

Platinum Member
Jun 26, 2021
2,334
2,948
106
I know many say that ARL will be N3, but I really don't expect Intel to fab their CPU's anywhere else. I think they would see that as a major dissappointment and affect morale and investor confidence. Not saying you implied that but I have seen it said here.




To me this could very well mean the CPU is Intel 4, the AI is 20A, and the graphics are N3. Of course 20A isn't ready yet so who knows what they might do for Meteor Lake. Also, is Intel not using Intel 3 on their client products?

Then again, I don't think Intel 4 is ready for Meteor Lake either and maybe I'm just way off. Or maybe a newer/better slide exists.

EDIT

This slide makes more sense, showing Intel 4 and External for Meteor Lake, than 20A and Externel for Arrow Lake. I still don't see how Intel skips right over Intel 3 though. I just saw another one that only shows that for Xeons though.

Where would Intel 4 appear on Meteor Lake/Arrow Lake if not the CPU tile?
Intel skipping over Intel 3 node for all of the client CPUs has been something that has been puzzling me as well, ever since these client roadmaps emerged.

It seems to me that Intel 3 would provide smoother, more incremental approach, lowering the risks. Intel is only using Intel 3 in the server market.

One explanation I came across is that Intel does not have enough EUV machines, and just does not have capacity to ramp client production on Intel silicon, which is why there is such a heavy reliance on TSMC.

Of all the dies in Arrow Lake, you would think that Intel fabs would produce at least 1 out of 3 to 4 dies, but it looks like some SKUs will be all TSMC...

Regarding Intel 4, it will be used in Meteor Lake CPU die, while all the other dies are TSMC. Intel 20A may be used for certain low end CPU dies, but again, all other dies will be TSMC.
 
Last edited:

H433x0n

Golden Member
Mar 15, 2023
1,074
1,282
96
Intel skipping over Intel 3 node for all of the client CPUs has been something that has been puzzling me as well, ever since these client roadmaps emerged.

It seems to me that Intel 3 would provide smoother, more incremental approach, lowering the risks. Intel is only using Intel 3 in the server market.

One explanation I came across is that Intel does not have enough EUV machines, and just does not have capacity to ramp client production on Intel silicon, which there is such a heavy reliance on TSMC.

Of all the dies in Arrow Lake, you would think that Intel fabs would produce at least 1 out of 3 to 4 dies, but it looks like some SKUs will be all TSMC...

Regarding Intel 4, it will be used in Meteor Lake CPU die, while all the other dies are TSMC. Intel 20A may be used for certain low end CPU dies, but again, all other dies will be TSMC.
Bob Swan bought a lot of TSMC silicon in 2021, a big portion of that is N3 allocation. I doubt Intel can go to TSMC and request a refund at this point.

Where else are you going to use those wafers? The product line that makes the most sense is client desktop. Mobile ARL has the most stringent efficiency requirements. Mobile is also the most ideal platform to derisk 20A node in preparation for 18A since it's a relatively small die size and the limited scope of 20A being a 'half' node works in this scenario. Server isn't an option due to the volume and greater efficiency requirements than client desktop.
 

ondma

Platinum Member
Mar 18, 2018
2,790
1,357
136
IDK, it is just frustrating. Intel was claiming they would have process leadership by what, 2025, if I recall correctly. They are also claiming power savings for backside power delivery with 20A. Then for the desktop ArL that is claimed to be a big advance, they now are going to use TSMC? Makes me wonder if the 14nm and 10nm delays are carrying over into this ambitious node advance plan. And how are they going to be a foundry supplier when they have to use TSMC to make their own processors?
 

Thunder 57

Platinum Member
Aug 19, 2007
2,814
4,108
136
Intel skipping over Intel 3 node for all of the client CPUs has been something that has been puzzling me as well, ever since these client roadmaps emerged.

It seems to me that Intel 3 would provide smoother, more incremental approach, lowering the risks. Intel is only using Intel 3 in the server market.

One explanation I came across is that Intel does not have enough EUV machines, and just does not have capacity to ramp client production on Intel silicon, which there is such a heavy reliance on TSMC.

Of all the dies in Arrow Lake, you would think that Intel fabs would produce at least 1 out of 3 to 4 dies, but it looks like some SKUs will be all TSMC...

Regarding Intel 4, it will be used in Meteor Lake CPU die, while all the other dies are TSMC. Intel 20A may be used for certain low end CPU dies, but again, all other dies will be TSMC.

I think MTL is too soon for 20A. I think we will see it in ARL though. Also I thought Intel 4 was HP only while Intel 3 had HP and HD options. Maybe they are using it for density in Xeons and forgoing the HP variant?

IDK, it is just frustrating. Intel was claiming they would have process leadership by what, 2025, if I recall correctly. They are also claiming power savings for backside power delivery with 20A. Then for the desktop ArL that is claimed to be a big advance, they now are going to use TSMC? Makes me wonder if the 14nm and 10nm delays are carrying over into this ambitious node advance plan. And how are they going to be a foundry supplier when they have to use TSMC to make their own processors?

That is an excellent point that I didn't even think to mention above. Imagine trying to get customers for IFS when they might be fabbing most/all of their (client) CPU's at TSMC. I know they have some customers already but that would be a bad look.
 
Reactions: Tlh97 and Joe NYC

H433x0n

Golden Member
Mar 15, 2023
1,074
1,282
96
Makes me wonder if the 14nm and 10nm delays are carrying over into this ambitious node advance plan. And how are they going to be a foundry supplier when they have to use TSMC to make their own processors?
Just wait, you’re putting the cart before the horse. They’re not even late on their original roadmap from 2021. If MTL doesn’t come out in October then sure you can start spelling doom.
 

Exist50

Platinum Member
Aug 18, 2016
2,452
3,102
136
Genuine question - Has intel ever not released a product once QS samples have been delivered? There’s multiple vendors with finished MTL laptop designs and QS MTL silicon out in the wild.
MTL is not at QS yet. But I think Crow Pass might have been canceled around QS. Could be off on that one.
 

Exist50

Platinum Member
Aug 18, 2016
2,452
3,102
136
Intel skipping over Intel 3 node for all of the client CPUs has been something that has been puzzling me as well, ever since these client roadmaps emerged.

It seems to me that Intel 3 would provide smoother, more incremental approach, lowering the risks. Intel is only using Intel 3 in the server market.

One explanation I came across is that Intel does not have enough EUV machines, and just does not have capacity to ramp client production on Intel silicon, which is why there is such a heavy reliance on TSMC.

Of all the dies in Arrow Lake, you would think that Intel fabs would produce at least 1 out of 3 to 4 dies, but it looks like some SKUs will be all TSMC...

Regarding Intel 4, it will be used in Meteor Lake CPU die, while all the other dies are TSMC. Intel 20A may be used for certain low end CPU dies, but again, all other dies will be TSMC.
You can thank Keller for this, first and foremost. He gave the design teams what they wanted after years of being at the fabs mercy - the ability to do what's best for themselves. And for that, the logic was simple. N3 is a better node than Intel 3, and they needed whatever edge they could get. If the Lion Cove design team had more resources, perhaps they would do Intel 3, TSMC N3, and Intel 20A, but 3 entirely different nodes within a year's timespan is just too much.
 
Reactions: Tlh97

Exist50

Platinum Member
Aug 18, 2016
2,452
3,102
136
A late stepping. Nothing more, nothing less. A PRQ[-ish]-quality stepping is a necessary but insufficient requirement for QS. QS is supposed to be basically ready for shipment. That means all significant bugs have fixes/workarounds, platform stability for BIOS and other firmwares, validation mostly complete, etc.
 

lightisgood

Senior member
May 27, 2022
206
89
71
You can thank Keller for this, first and foremost. He gave the design teams what they wanted after years of being at the fabs mercy - the ability to do what's best for themselves. And for that, the logic was simple. N3 is a better node than Intel 3, and they needed whatever edge they could get. If the Lion Cove design team had more resources, perhaps they would do Intel 3, TSMC N3, and Intel 20A, but 3 entirely different nodes within a year's timespan is just too much.

In 2021 Intel Accelerated, Sanjay clarified that Intel 3 with PowerVia is prepared as backup plan of Intel 20A.
So, IMO, Lion Cove could be made by Intel 3 (with PowerVia).
 

DrMrLordX

Lifer
Apr 27, 2000
21,815
11,169
136
One explanation I came across is that Intel does not have enough EUV machines, and just does not have capacity to ramp client production on Intel silicon, which is why there is such a heavy reliance on TSMC.
People - like me - who read that old Mizuho report have said things like that. It sort-of makes sense. ASML has a long turnaround time on new orders. At the time it was said that Intel wouldn't be getting major shipments of EUV equipment until like 2023-2025 or whenever, and that Intel 7nm/Intel4 would have volume problems. At the time I speculated that, if the report were true, that Intel would continue to struggle for EUV output until maybe late 2024/early 2025 on Intel 20a. Of course back then 20a and 18a were supposed to be High NA EUV and that apparently is no longer the case.

Stuff has been getting really confusing lately with Intel rolling out ambitious foundry plans since then AND it being revealed that Intel has a ton of N3 wafers coming to them.
 
Last edited:
Reactions: Tlh97 and Joe NYC

mikk

Diamond Member
May 15, 2012
4,175
2,211
136
A late stepping. Nothing more, nothing less. A PRQ[-ish]-quality stepping is a necessary but insufficient requirement for QS. QS is supposed to be basically ready for shipment. That means all significant bugs have fixes/workarounds, platform stability for BIOS and other firmwares, validation mostly complete, etc.


To my knowledge ES2 don't have a real CPU ID, only the 0000 generic. On Sisoft there are ES2 samples with 0000. There is a Beta between ES2 and QS in the Arrow Lake roadmap, maybe it's a beta version? It's the third stepping on intel-gfx-ci.01.org, it could make sense. This is from the outdated ARL roadmap:

ES1/Alpha ww48'22
ES2 ww05'23
Beta ww09'23
QS ww27'23
PC/PV/PRQ ww31-33'23
RTS ww42'23
 

Geddagod

Golden Member
Dec 28, 2021
1,214
1,178
106
In 2021 Intel Accelerated, Sanjay clarified that Intel 3 with PowerVia is prepared as backup plan of Intel 20A.
So, IMO, Lion Cove could be made by Intel 3 (with PowerVia).
I'm telling you if GNR ends up using LNC I'm going to end up feeling so vindicated lol
Stuff like cores on multiple nodes are just really interesting because you get to see what happened to individual structure sizes. Very cool IMO, like RKL was.
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |