Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 409 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
694
600
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E012 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ?12 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)



 

Attachments

  • PantherLake.png
    283.5 KB · Views: 24,000
  • LNL.png
    881.8 KB · Views: 25,481
Last edited:

Goop_reformed

Senior member
Sep 23, 2023
307
337
96
That same argument works in reverse too. It's super weird how Company A and Company B can land at the same performance and yet it's a disaster for one and a success for the other.
No it doesn't, Arrowlake has better nodes, brand new cores. Zen 5 only has 1 of those 3 and the uplift even though is disappointing to me, still larger than that of arrowlake.
 

H433x0n

Golden Member
Mar 15, 2023
1,166
1,510
96
No it doesn't, Arrowlake has better nodes, brand new cores. Zen 5 only has 1 of those 3 and the uplift even though is disappointing to me, still larger than that of arrowlake.
You listed 2 things, not 3.

N3B is advertised as -1% to +4% performance improvement OR +3% to +8% efficiency advantage over N4P. For the few Apple processors that have been fabbed on N3B, the advantage over their N4P products is non existent. Does that sound like a major node advantage to you?
 

Hitman928

Diamond Member
Apr 15, 2012
6,056
10,385
136
You listed 2 things, not 3.

N3B is advertised as -1% to +4% performance improvement OR +3% to +8% efficiency advantage over N4P. For the few Apple processors that have been fabbed on N3B, the advantage over their N4P products is non existent. Does that sound like a major node advantage to you?

It has a very big logic density advantage, but the quest for high frequencies and inclusion of large caches probably takes a large part of that off the table as well.
 

DavidC1

Senior member
Dec 29, 2023
780
1,241
96
No it doesn't, Arrowlake has better nodes, brand new cores. Zen 5 only has 1 of those 3 and the uplift even though is disappointing to me, still larger than that of arrowlake.
Seeing the predecessor has two core types, counting two core types as two out of the three makes no sense.

CPUs are a black box from the perspective of the consumer. As long as it's competitive it doesn't really matter. I agree with @H433x0n's POV. If they are same, what's the complaint?

I thought it was amazing when 22nm transistor chips came from Intel, but when I got it in my hands, I realized that part mattered zero to me.

It's interesting how two companies took a very different approach to get to the same point. AMD uses clustered decode to enhance SMT gains, and Arrowlake has great E core gains.
 

DavidC1

Senior member
Dec 29, 2023
780
1,241
96

Goop_reformed

Senior member
Sep 23, 2023
307
337
96
2 things, not 3.
-1% to +4% performance improvement OR +3% to +8% efficiency advantage over N4P.

Cores not core, so 3.

Regarding the node, let me put it this way. Arrowlake has the best hmv nodes atm, and the jump from Raptor to Arrow is Intel 7 to N3B. That is a full fat node jump, perhaps more.

Zen 5C and Zen 5 are essentially the same. The monts and coves are definitely not.
>As long as it's competitive it doesn't really matter.

Oh but it does, the road leading up to that matters a whole lot.

>It's interesting how two companies took a very different approach to get to the same point. AMD uses clustered decode to enhance SMT gains, and Arrowlake has great E core gains.

One approach is vastly superior to the other in terms of cost/development cycles/performance/scaling.
 
Reactions: Tlh97

alcoholbob

Diamond Member
May 24, 2005
6,304
348
126
If these leaks come out as true, lion cove seems disapointing, arrow lake seems meh even with the chadmont onboard. Tsmc 3nb, no avx512 and bigger core than z5 for overall similar perf and eficiency? Doesnt seems great for me

AMD has had better efficiency and workstation IPC than Intel for years now, even on inferior nodes. The only reason it was even "close" was the lower latency of the non-chiplet design gave the illusion they were somehow close because Intel had acceptable gaming performance. So this shouldn't be a surprise. Intel is years and years away from catching up to AMD from an architectural standpoint. Having a node advantage isn't going to close the gap.

Intel is like where AMD was vis-a-vis with Nvidia in the GPU market in 2017. Power inefficient, silicon inefficient, and having to clock things to the moon just to get close, and still lose.
 

AMDK11

Senior member
Jul 15, 2019
374
258
136
AMD has had better efficiency and workstation IPC than Intel for years now, even on inferior nodes. The only reason it was even "close" was the lower latency of the non-chiplet design gave the illusion they were somehow close because Intel had acceptable gaming performance. So this shouldn't be a surprise. Intel is years and years away from catching up to AMD from an architectural standpoint. Having a node advantage isn't going to close the gap.

Intel is like where AMD was vis-a-vis with Nvidia in the GPU market in 2017. Power inefficient, silicon inefficient, and having to clock things to the moon just to get close, and still lose.
However, I will wait for the final tests and the IPC (LionCove) increase compared to RaptorCove before I finally evaluate ArrowLake.
 

naukkis

Senior member
Jun 5, 2002
878
750
136
Intel literally published the data a month and a half ago, +14% over RWC that is marginally slower than RPC. +14% IPC at -8% clock speed compared to 14900KS. Where is the ST uplift supposed to come from?

From decreasing 14900KS clocks. Those st boost clocks come from voltages that silicon couldn't handle so comparison to those are ridiculous. Real sustainable ST uplift from 14900K to Arrowlake might actually be quite large.
 

coercitiv

Diamond Member
Jan 24, 2014
6,599
13,948
136
Where is the ST uplift supposed to come from?
It was supposedly going to get more performance by:
  • having more cache
  • running fabrics at higher speeds, lowering internal latencies overall
  • being a different core than mobile LNC, essentially a new "tick" sharing the same LNC name for undisclosed reasons
The last point was deemed the most important while lacking any backing from leaks or Intel tech details. It was essentially made up to suit the narrative, the "possible" was presented as "probable" and repeated until it's fictitious nature became obscured. The same recipe as the AMD hype train from the other thread, with the same shocking revelation when reality checked in.

Don't worry though, by tonight I expect we'll transition into acceptance mode. Soon there will be talks about 200 Mhz higher clocks in final retail units or KS SKU, leaks about higher memory speed support etc. We'll get over it
 

FlanK3r

Senior member
Sep 15, 2009
320
82
101
I very much agree, and i have been telling the guys in this thread that have been hyping ~ +20% ST vs 14900K that they would be wise to lower their expectations
IPC is around 10-12%, it is not bad. But of course, 14900K has higher clocks. IPC is measured to same frequency, which propably 14900K will have 5% better.
From my point of view is multicore performance awesome, due fact, there is no HT and 8P+16E cores (so 24C/24T). Seems, small cores will be very strong!
 
Jul 13, 2024
70
75
46
Lion Cove being +14% IPC vs Redwood Cove IPC is an estimate based on projections made by Intel. It is not the same as AMD's official figures for Zen 5 vs Zen 4 of +16% which is based on internal testing on actual hardware.

In other words, the figure given by Intel is not comparable to the figure given by AMD.

 

mikk

Diamond Member
May 15, 2012
4,233
2,289
136
Lion Cove being +14% IPC vs Redwood Cove IPC is an estimate based on projections made by Intel. It is not the same as AMD's official figures for Zen 5 vs Zen 4 of +16% which is based on internal testing on actual hardware.

In other words, the figure given by Intel is not comparable to the figure given by AMD.

View attachment 103801

Estimate is also tested, Intel always uses the term estimate and people don't get it.
 

LightningZ71

Golden Member
Mar 10, 2017
1,783
2,138
136
Cores not core, so 3.

Regarding the node, let me put it this way. Arrowlake has the best hmv nodes atm, and the jump from Raptor to Arrow is Intel 7 to N3B. That is a full fat node jump, perhaps more.
It may be a full node jump from a manufacturing point, but, from a chip performance standpoint, not so much. Intel 7 is a several times relaxed version of the 10nm class superfin process. It gave up density multiple times to be able to acceptably yield processors that had a chance of reaching ~6.2Ghz. TSMC N3B is a first pass at a new node with yield difficulties (look at Apple's NGD contract with TSMC for hard evidence of this) that has already been stated to achieve more on the density vertex of the process triangle than the performance or power ones. I'm not criticizing either of the processes, as a process is just a platform to build a product on. I'm just pointing out that thinking that the node jump from Intel7 to N3B is going to yield a processor that should clock to the stratosphere is a bit optimistic.
 

LightningZ71

Golden Member
Mar 10, 2017
1,783
2,138
136
Given the competitive environment that they exist in, they are effectively backed into a corner with respect to process/design tweaks. They HAVE to throw dump truck loads of transistors at their designs to achieve very high clocks. The HAVE to throw dump truck loads of transistors at their deigns to continue to push improvements in IPC. Cramming that may transistors into their cores means that they are forced to lean the process towards it's density maximums to hit those transistor counts. They also can't have too big of a frequency regression against their competition, so they have to push the performance corner. Both of those drag the efficiency corner down.

There's not a lot of places that they can go...
 
Reactions: CouncilorIrissa

dullard

Elite Member
May 21, 2001
25,476
3,976
126
Lion Cove being +14% IPC vs Redwood Cove IPC is an estimate based on projections made by Intel.
Estimate is also tested, Intel always uses the term estimate and people don't get it.

Mikk is correct. Intel uses the label "estimate" because the products are not yet released and SPEC often requires that label. Below are the relevant SPEC reporting rules. Simply put, if a CPU is not generally available within 3 months of the first report of SPEC results, they must be labelled as an estimate. That doesn't mean the results are wrong. It just means that SPEC requires them to be labelled as an estimate because things often do change over time (updates to microcode, BIOS, Windows, etc all can easily impact results).

1.1. Purpose
Per the SPEC license agreement, all SPEC CPU 2017 results disclosed in public -- whether in writing or in verbal form -- must adhere to the SPEC CPU 2017 Run and Reporting Rules, or be clearly described as estimates.
https://www.spec.org/cpu2017/docs/runrules.html#rule_1.1

4.2. Systems not yet shipped
a. The component suppliers must have firm plans to make production versions of all components generally available, within 3 months of the first public release of the result.
https://www.spec.org/cpu2017/docs/runrules.html#rule_4.2
 

dullard

Elite Member
May 21, 2001
25,476
3,976
126
Why the wait? Arrowlake performance is already known at this time.
Why wait? We don't know final clocks (although the rumors are likely true), final microcode impacts, final price, final availability, final performance of iGPU and NPU, final performance at other power levels, and most relevant to the ST discussion: the final power used in ST usage.
 

H433x0n

Golden Member
Mar 15, 2023
1,166
1,510
96
Lion Cove being +14% IPC vs Redwood Cove IPC is an estimate based on projections made by Intel. It is not the same as AMD's official figures for Zen 5 vs Zen 4 of +16% which is based on internal testing on actual hardware.

In other words, the figure given by Intel is not comparable to the figure given by AMD.

View attachment 103801
You're right, the test suite they used is much better than what AMD presented for Zen 5 IPC calculation. If you used that same test suite to calculate IPC for Zen 5, who knows if you actually get a 16% average.
 
Reactions: lightisgood
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |