Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 484 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
694
600
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E012 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ?12 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)



 

Attachments

  • PantherLake.png
    283.5 KB · Views: 24,000
  • LNL.png
    881.8 KB · Views: 25,481
Last edited:

Josh128

Senior member
Oct 14, 2022
290
403
96
I get the throttling for MT. But for ST?
Time to throw it in the freezer!
If you remember, Strix had the same issue on the Zenbook models, not able to attain the full 5.1 boost, while the larger, better cooled ProArt has better cooling and hits the full SC boost.
 

MarkPost

Senior member
Mar 1, 2017
295
531
136
ST is not disappointing at all here. @5GHz it is beating Strix @5.1 by 8-10%. Its beating the newest, fastest x86 core available by 10%, what more do you want? MT is nothing special, but if this is at 17W, its ~passable. Strix gets 954 @28W with 12 cores.
dunno if Strix is slower than Granite, but zen 5 desktop scores ~128 pts. fixed @5.1 with DDR5 @6000 EXPO

 

dullard

Elite Member
May 21, 2001
25,476
3,976
126
Edit: Btw, I think someone also mentioned it. 20A being scrapped is probably because 18A with PowerVia had good enough yields, and 20A use was going to be limited (one ARL-S SKU that we know off). However, 18A is falling short on performance expectations (from around 25% improvement in perf/W over Intel 3 to only 15%). The new 18A-P node they added to the roadmap will have the expected additional 10% improvement, but I don't think that will be ready before end of 25, early 26.
I think something that has been missed in the last week of node discussions. It is that Intel changed 18A about a year ago (at least they confirmed the change a year ago). https://www.anandtech.com/show/2006...v-work-on-intel-18a-production-in-future-node

18A was originally going to be made with high-NA EUV. But they moved 18A from being manufacturing ready in 2025 to H2 2024 which is before high-NA EUV is ready: https://www.anandtech.com/show/1734...on-moves-up-intel-18a-manufacturing-to-h22024

This has two impacts:
(1) 18A will not be as advanced as originally planned by dropping high-NA EUV and pulling it up a half year earlier. Thus, they have 18A-P that uses more EUV. https://www.intel.com/content/www/u...es/foundry-direct-connect-2024.html#gs.evm56y Yield, and performance discussions should reflect that (don't use performance expectations from before the change).

(2) There is no longer much need for 20A. Since 18A was moved up half a year, since the risk of high-NA EUV was removed, and since 18A has low defect rates according to Intel, then 20A is just redundant. https://www.intel.com/content/www/us/en/newsroom/opinion/continued-momentum-intel-18a.html

As an aside: we should be clear to discuss defects with respect to which 18A we are talking about. 18A or 18A-P.
 
Last edited:

511

Senior member
Jul 12, 2024
283
189
76
Btw TSMC Said 18A is Comparable to N3P means superior to N3E but Intel 4 is closer to N4 and I3 is closer to N3B which makes Intel 18A closer to N2!!
Source
 

Attachments

  • Screenshot_20240909-085243.png
    189.2 KB · Views: 25

cannedlake240

Member
Jul 4, 2024
33
9
41
Intel 18A closer to N2!!
Intel still doesn't have proper dense logic cells. I3 HD is only 10% denser than i4 HP, meanwhile between TSMC N3 HP and HD, used by AI, iphones etc., there's a massive density difference.

Plus there's some rumors that high end NVL will still use N2. Lol even the next lake after NVL is apparently partially outsourced instead of using 14A, which is supposed to be the Intel's crown jewel
 

511

Senior member
Jul 12, 2024
283
189
76
I think intel should stay with TSMC for the msdt until they’re fab is better than tsmc(impossible).
You mean comparable ? I don't understand people's problems with Intel Fab they got in that state due to BK and Swan BK gutted the funding and Swan didn't do anything after that to save it the only reason intel has such a scale is the fab do you know TSMC copied Intel untill intel F***** up good
 
Reactions: AcrosTinus

511

Senior member
Jul 12, 2024
283
189
76
Intel still doesn't have proper dense logic cells. I3 HD is only 10% denser than i4 HP, meanwhile between TSMC N3 HP and HD, used by AI, iphones etc., there's a massive density difference.
Intel for it's Intent never uses HD they need to for their fab buisness but HPC is Intel's speciality also part of TSMC density is due to finflex allowing you to mix and match 2-2 2-1 fins that is what gives the density boost with tighter pitches 18 AP exists for this
Plus there's some rumors that high end NVL will still use N2. Lol even the next lake after NVL is apparently partially outsourced instead of using 14A, which is supposed to be the Intel's crown jewel
Rumours yeah sure i can get part of it is TSMC but not 100%

Btw Falcon shores is N3E their GPU is at TSMC with PTL they will bring some part of it to Intel 3
 

Josh128

Senior member
Oct 14, 2022
290
403
96
Btw TSMC Said 18A is Comparable to N3P means superior to N3E but Intel 4 is closer to N4 and I3 is closer to N3B which makes Intel 18A closer to N2!!
Source
So who is lying?
 

SiliconFly

Golden Member
Mar 10, 2023
1,466
826
96
So who is lying?
Btw TSMC Said 18A is Comparable to N3P means superior to N3E but Intel 4 is closer to N4 and I3 is closer to N3B which makes Intel 18A closer to N2!!

18A is very close to N2. 18A is expected to have slightly better performance but slightly lower density than N2 for a given (equivalent) library.

Intel should accelerate their 18A since relying too much in TSMC would put them in a similar situation AMD is.
I think intel should stay with TSMC for the msdt until they’re fab is better than tsmc(impossible).
Now there are some random/unverified rumors on Twitter/X that after 18A, Intel client might move back to TSMC. Maybe fake rumors, but still makes one wonder!

Btw, Intel was always ahead of TSMC for decades until their 14++++++ & 10+++++ fiasco.

You don't need to be better than TSMC, you just have to be competitive.
Intel 3 is good, 20A is internal and cancelled and 18A if the specs are to be believed, is great as well.
18A is excellent in theory. Even their defect density it within norms. But ramp up is a big challenge. Will they be able to hit *volume-on-time*? Only time can tell.

If TSMC says N3P is comparable to 18A you can at least trust TSMC more than Intel.
Thats was said by TSMC's CEO which is highly usual. To counter, Intel CEO said 18A is better than N2 in perf but roughly equal in density. And people generally tend believe who they like best.

Funny thing is, if we take the spec sheets/pdks and start analyzing, I'm sure we can find a lot of points where one is a bit better than the other. The general consensus is, both 18A & N2 are pretty much equivalent.
 
Last edited:

SiliconFly

Golden Member
Mar 10, 2023
1,466
826
96
As silicon fly said N2 will be on par with 18A in PPW but will be ahead by 15-20% in terms of density vs 18A , 18A might be slightly cheaper than N2
That was a bit of a surprise to me too when Intel said 18A will be cheaper than N2! Considering the all new transistor with BSPDN, I'm not sure how it works cos the R&D cost itself will be thru the roof!
 
Reactions: 511

controlflow

Member
Feb 17, 2015
149
241
116
That was a bit of a surprise to me too when Intel said 18A will be cheaper than N2! Considering the all new transistor with BSPDN, I'm not sure how it works cos the R&D cost itself will be thru the roof!

"You might expect that having to build interconnects on both sides of the silicon would make the cost of the chip shoot up. But early on, Intel saw a reason why that would not be the case, says Sell. The smallest, most tightly packed layer of interconnects, called M0, are also the costliest to produce. They can require more than one pass through chipmaking’s most expensive step, extreme ultraviolet lithography. But with no power interconnects to get in the way, the lines in the M0 layer could be six nanometers further apart than they are today. That may not seem like much, but it means it takes less EUV effort to make them. For the process to be introduced next year and for its successor, “the cost savings we get from not scaling so aggressively more than offsets the additional cost from the backside power-delivery process,” Sell says."
 

511

Senior member
Jul 12, 2024
283
189
76
Yup they reduced the pitches i don't know about EUV layers affect cost More layers means increasing cost that is why Intel 7 is a disaster it uses SAQP basically 4 time scanning and etching with DUV with EUV they would have gotten by single layer saving cost here is a good article
 

Attachments

  • Screenshot_20240909-232801.png
    289.4 KB · Views: 20
Last edited:

Magio

Member
May 13, 2024
62
54
51
That was a bit of a surprise to me too when Intel said 18A will be cheaper than N2! Considering the all new transistor with BSPDN, I'm not sure how it works cos the R&D cost itself will be thru the roof!
I'm thinking the cost will be lower than N2 to the customers because Intel will set it as low as possible to attract them. TSMC doesn't really have to do that because they have clients to spare and Apple will buy up the entire N2 production for a year anyway. So the node isn't cheaper (don't see how it could be with GAAFETs, BSPDN and with US manufacturing), but Intel will not be seeking high margins to start getting contracts.
 

desrever

Member
Nov 6, 2021
170
447
106
I'm thinking the cost will be lower than N2 to the customers because Intel will set it as low as possible to attract them. TSMC doesn't really have to do that because they have clients to spare and Apple will buy up the entire N2 production for a year anyway. So the node isn't cheaper (don't see how it could be with GAAFETs, BSPDN and with US manufacturing), but Intel will not be seeking high margins to start getting contracts.
Pricing it low will just bankrupt Intel.
 

SiliconFly

Golden Member
Mar 10, 2023
1,466
826
96
I'm thinking the cost will be lower than N2 to the customers because Intel will set it as low as possible to attract them. TSMC doesn't really have to do that because they have clients to spare and Apple will buy up the entire N2 production for a year anyway. So the node isn't cheaper (don't see how it could be with GAAFETs, BSPDN and with US manufacturing), but Intel will not be seeking high margins to start getting contracts.
I think so too.

Pricing it low will just bankrupt Intel.
Don't think so. A fab is something that need to run at full capacity at all times just to even breakeven I think. And, Intel has to price it lower than the competitor in order to survive. Doesn't mean they have to do it at a loss. More like they have go with reduced margins or a loss in profit if you prefer (or maybe even a slight loss). Far from bankruptcy.

Not gaining market share will also bankrupt intel. Some revenue to cover fixed costs is better than no revenue...
Very true.
 

maddie

Diamond Member
Jul 18, 2010
4,878
4,951
136
I'm thinking the cost will be lower than N2 to the customers because Intel will set it as low as possible to attract them.
Pricing it low will just bankrupt Intel.
Not gaining market share will also bankrupt intel. Some revenue to cover fixed costs is better than no revenue...
And, Intel has to price it lower than the competitor in order to survive. Doesn't mean they have to do it at a loss. More like they have go with reduced margins or a loss in profit if you prefer (or maybe even a slight loss). Far from bankruptcy.

Regarding the last few comments, what is the relative cost of an Intel versus a TSMC wafer for a comparable node? Unless this is known all these arguments are totally useless.
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |