Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 485 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
694
600
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E012 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ?12 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)



 

Attachments

  • PantherLake.png
    283.5 KB · Views: 24,000
  • LNL.png
    881.8 KB · Views: 25,481
Last edited:

cebri1

Senior member
Jun 13, 2019
261
255
136
Regarding the last few comments, what is the relative cost of an Intel versus a TSMC wafer for a comparable node? Unless this is known all these arguments are totally useless.

It's not known. However, if 18A ever takes off you can compare Gross Margins and more or less come up with an average price.
 

KompuKare

Golden Member
Jul 28, 2009
1,164
1,426
136
It's not known. However, if 18A ever takes off you can compare Gross Margins and more or less come up with an average price.
Fabs are so capital intensive that their fixed costs get written off over many multiple of years, so doesn't that mean annual gross margins are not enough to really deduce this?
 
Last edited:

cebri1

Senior member
Jun 13, 2019
261
255
136
Fabs are so capital introduce that their fixed costs get written off over many multiple of years, so doesn't that mean annual gross margins are not enough to really deduce this?
Are you sure? Investment in fixed assets (fabs and equipment) usually affect the operating margin, as you have to take into account the amortization of the assets. Gross margin is just the sale - the cost of that sale (mostly raw materials, direct labor cost, electricity, etc.).

edit: nvm I think you are right. Makes sense.
 
Last edited:

KompuKare

Golden Member
Jul 28, 2009
1,164
1,426
136
Are you sure? Investment in fixed assets (fabs and equipment) usually affect the operating margin, as you have to take into account the amortization of the assets. Gross margin is just the sale - the cost of that sale (mostly raw materials, direct labor cost, electricity, etc.).
Certainly not sure, anyways!

But with capital investments for which small countries would have to issue bonds for - that is billions upon billions - hiding stuff is easy.

Now the pure fabs well have time of old nodes and packaging plants and pure r&d over lots of factories making it really hard to see what is what.

Some of that is just the way it is, but some of it must be make things as opaque as possible so their clients cannot work how much each is paying etc.
 
Reactions: cebri1

511

Senior member
Jul 12, 2024
283
189
76
Are you sure? Investment in fixed assets (fabs and equipment) usually affect the operating margin, as you have to take into account the amortization of the assets. Gross margin is just the sale - the cost of that sale (mostly raw materials, direct labor cost, electricity, etc.).

edit: nvm I think you are right. Makes sense.
Btw TSMC cost is also offset due yo lagging node that is just running on equipment and depreciation all it costs is yhe cost of operations of the equipment Intel didn't do that they kep N,N-1 where N is latest now they are moving away they already mixed 14nm into Intel 16
On a side note
I don't think 14nm will ever die 🤣
 
Last edited:

jdubs03

Senior member
Oct 1, 2013
688
308
136

SiliconFly

Golden Member
Mar 10, 2023
1,466
826
96
Source on that? Would seem to make sense. But oddly then there is this:
The same HXL post. If CWF moves to N3E, then it signals no further use of N3B by Intel (other than ARL & possibly ARL-R).

More FUD and fearmongering. If this is true IFS is being dissolved right now. There's no recovering from a debacle of this magnitude. Best case is a merger with GF with government help
Not really. Panther Lake both desktop & mobile is expected to be on 18A. Thats a lot of volume. I doubt 18A will have enough capacity to begin with to even fullfill Panther Lake itself.

*********

Edit: Generally speaking, I'm pretty much convinced that IFS doesn't have much of a future considering their execution. Not blaming anyone in particular. Fabs are real hard, and trying to succeed at this break neck pace is bound to have issues. It's time for Intel to cut loose the dead weight.
 
Last edited:
Reactions: 511 and jdubs03

511

Senior member
Jul 12, 2024
283
189
76
Edit: Generally speaking, I'm pretty much convinced that IFS doesn't have much of a future considering their execution. Not blaming anyone in particular. Fabs are real hard, and trying to succeed at this break neck pace is bound to have issues. It's time for Intel to cut loose the dead weight.
If Intel Cuts fab time for US to accept they will loose to China in manufacturing 🤣
 
Reactions: DavidC1

cannedlake240

Member
Jul 4, 2024
33
9
41
Panther Lake both desktop
There is no Panther lake desktop, and it arrives later than Clearwater. Desktop is ARL-R with a larger NPU. Clearwater is the lead product for 18A, just as iphone chips are for leading edge TSMC nodes. If your lead product is outsourced all of a sudden, it means the node is completely unusable, and Intel failed to yield Ribbonfet and Powervia
 
Reactions: cebri1

cebri1

Senior member
Jun 13, 2019
261
255
136
I don’t think the compute tiles for CWF will be outsourced to N3E. Maybe for some SKUs? to make sure enough volume is available if cloud providers are showing a lot of interest? but this is something that should have already been leaked, is not a decision you can make 3Q before release.
 
Last edited:

511

Senior member
Jul 12, 2024
283
189
76
Nope here is the brief rest is Fud this month has been full of fud to force intels seperation
I don’t think think the compute tiles for CWF will be outsourced to N3E. Maybe for some SKUs? to make sure enough volume is available if cloud providers are showing a lot of interest, but this is something that should have already been leaked, is not a decision you can make 3Q before release.
 

Attachments

  • 1720138568242.png
    632.7 KB · Views: 26

cebri1

Senior member
Jun 13, 2019
261
255
136
Reactions: Executor_ and 511

511

Senior member
Jul 12, 2024
283
189
76
Last edited:
Jul 27, 2020
19,613
13,477
146
I DEMAND to know why Intel couldn't cook up Dynamic SMT: https://www.ibm.com/docs/el/zvm/7.2?topic=architectures-simultaneous-multithreading-smt

If multithreading is enabled, the SET MULTITHREADcommand can be used to nondisruptively switch between one and twoactivated threads per IFL core. Performance of a system and workloadwith one active thread per core is comparable to that of the samesystem and workload with multithreading disabled. Thus, the dynamicSMT level capability allows the benefit of multithreading to be evaluatedfor a workload without requiring an outage to enable or disable SMT.
 
Reactions: jdubs03

MS_AT

Senior member
Jul 15, 2024
207
497
96
While I can only guess, based on their own press materials, they wanted to save transistor budget. After all you need to duplicate some structures to support SMT and seeing professional software still has issues with Raptor Lake due to scheduling issues, it might be for the better for them to simplify the model. (Windows Containers default to running on E-cores and VMware is ignoring E cores completly I think, unless they maybe finally fixed it, hmm).
 
Reactions: igor_kavinski
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |