Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 486 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
694
600
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E012 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ?12 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)



 

Attachments

  • PantherLake.png
    283.5 KB · Views: 24,000
  • LNL.png
    881.8 KB · Views: 25,481
Last edited:

MS_AT

Senior member
Jul 15, 2024
207
497
96
So now they have two Lion Cove designs, one with SMT for servers and one without?
Rumors are pointing in that direction. Server people this way won't complain as they will get what they are used to. Marketing has already prepared an easy explanation with maximizing throughput not energy saving to justify the difference and Client has simpler scheduler model.
 

jdubs03

Senior member
Oct 1, 2013
688
308
136
Mm that does make sense they are the same chip. Maybe more KF test were done under OC conditions?
Choosing the median makes the 14700K get a little closer to the KF, but that doesn’t solve the potential scenario you mentioned there.

This is my 13900K with four E-Cores disabled to simulate a 14700K:

View attachment 107207

So, with V-Ray 265K would be barely faster (1-2%) than 14700K. More than a poor improvement, to say the least
And we can assume the 14900K with 4 e-cores disabled would score at least a few percent higher.
 
Reactions: lightmanek

jdubs03

Senior member
Oct 1, 2013
688
308
136
why? 13900K and 14700K are just the same thing except 13900K has 4 more E-cores.
I was thinking because they clock a bit higher right. The p-core turbos higher by 200MHz and the e-core turbos higher by 100MHz. Maybe they sustain at the same frequency and turbo here and there.

But looking at:

Maybe it’s barely a smidge higher.
 

MarkPost

Senior member
Mar 1, 2017
295
531
136
Not looking too hot indeed

From the old 9950X ES numbers from ~2 months ago:
View attachment 107212
Think 60k should not be too hard with more mature agesa and optimized settings
yeah Zen 5 is really strong in rendering tasks such as vray, corona, blender and so on. Except Cinebench, where for some reason doesnt perform so amazingly well as with the aforementioned.

Lets see Arrow Lake, but for the leaks seems to be on the contrary side...
 
Reactions: lightmanek

Josh128

Senior member
Oct 14, 2022
290
403
96
yeah Zen 5 is really strong in rendering tasks such as vray, corona, blender and so on. Except Cinebench, where for some reason doesnt perform so amazingly well as with the aforementioned.

Lets see Arrow Lake, but for the leaks seems to be on the contrary side...
Arrow Lake will apparently have more of the Cinebench Intel secret sauce, not so much Blender and VRAY. I think it will come in ~>45K in R23.
 

Anhiel

Member
May 12, 2022
81
34
61
The V-Ray leak just create more questions than answers.

The results for Zen 5s aren't consistence gen over gen, while Arrow Lake supposedly having 2x more resources is nowhere near.
Arrow Lake should be a beast here. It could mean some future microcode could fix this.

But it does look like things are set for Arrow Lake regardless. And this points to Arrow Lake winning in CB R23 for both ST and MT.
For Core Ultra 9 285K that would be ST ~2450 @5.7GHz and MT ~47.5k (can't be more but could be 2% less due to error of margin from the leak)
Zen 5 will lose mainly due to power limitation resulting in significant all-core clock speed regression. It doesn't look like 3D -cache could change that. But since it seems AMD realized that and promising new features things might still be close.
 

Elfear

Diamond Member
May 30, 2004
7,126
738
126
The V-Ray leak just create more questions than answers.

The results for Zen 5s aren't consistence gen over gen, while Arrow Lake supposedly having 2x more resources is nowhere near.
Arrow Lake should be a beast here. It could mean some future microcode could fix this.

But it does look like things are set for Arrow Lake regardless. And this points to Arrow Lake winning in CB R23 for both ST and MT.
For Core Ultra 9 285K that would be ST ~2450 @5.7GHz and MT ~47.5k (can't be more but could be 2% less due to error of margin from the leak)
Zen 5 will lose mainly due to power limitation resulting in significant all-core clock speed regression. It doesn't look like 3D -cache could change that. But since it seems AMD realized that and promising new features things might still be close.
I'm curious how gaming pans out between the two architectures. Looks like things will be close but ARL may take the lead with Zen 5's poor INT uplift. Either way, it's good to have tight competition!
 

inf64

Diamond Member
Mar 11, 2011
3,863
4,540
136
I'm curious how gaming pans out between the two architectures. Looks like things will be close but ARL may take the lead with Zen 5's poor INT uplift. Either way, it's good to have tight competition!
INT uplift is not poor at around 11% for GR, it's just that memory subsystem is limiting Zen 5. ARL will first have to beat 7800X3D and 7950X3D which will be a tall order with its tiled architecture and clock regression vs RPL. Plus, AMD will have X3D on Zen 5 mere months after ARL launches which should be a good 10-15% faster than 7800X3D. So beating AMD in gaming is highly unlikely, but let's see.
 
Jul 27, 2020
19,613
13,477
146
Looks like things will be close but ARL may take the lead with Zen 5's poor INT uplift.
Memory latency may negate whatever IPC uplift ARL has. It may need DDR5-8400 or higher to realize decent gains over 14900KS.

CUDIMMs may save ARL-S, if DDR5-9200 becomes available before year end and actually possible on 285K.
 
Reactions: Elfear

Elfear

Diamond Member
May 30, 2004
7,126
738
126
INT uplift is not poor at around 11% for GR, it's just that memory subsystem is limiting Zen 5. ARL will first have to beat 7800X3D and 7950X3D which will be a tall order with its tiled architecture and clock regression vs RPL. Plus, AMD will have X3D on Zen 5 mere months after ARL launches which should be a good 10-15% faster than 7800X3D. So beating AMD in gaming is highly unlikely, but let's see.
Good point. I should say "lower than expected INT increase". I was fully onboard the hype train (right up front tugging on the whistle) so my expectations were rather unrealistic.
 
Reactions: inf64

Philste

Senior member
Oct 13, 2023
248
442
96
AMD will have X3D on Zen 5 mere months after ARL launches which should be a good 10-15% faster than 7800X3D.
In which world will ZEN5 X3D be 10-15% faster than ZEN4 X3D? ZEN5 is about 5% faster than ZEN4 in Gaming with same RAM. All Tests that show more is 5200MT vs 5600MT. So 5% over 7800X3D is what we should expect.
 

inf64

Diamond Member
Mar 11, 2011
3,863
4,540
136
In which world will ZEN5 X3D be 10-15% faster than ZEN4 X3D? ZEN5 is about 5% faster than ZEN4 in Gaming with same RAM. All Tests that show more is 5200MT vs 5600MT. So 5% over 7800X3D is what we should expect.
In a world where 9800X3D is not limited to 5Ghz boost clock. 5.4Ghz should be easy to achieve and there you go, 13% faster than 7800X3D.
 

Hulk

Diamond Member
Oct 9, 1999
4,455
2,373
136
In a world where 9800X3D is not limited to 5Ghz boost clock. 5.4Ghz should be easy to achieve and there you go, 13% faster than 7800X3D.
Besides performance I'm interested to see if ARL will be able to sustain 5.4 all-core under a moderately heavy load with air cooling and reasonable power. Peak clocks for Raptor have always been sort of a gaslight in that you need serious cooling to sustain them as well as good silicon. "Out-of-the-box" just working at sane power and thermals would be nice. I'm there with my 14900K but it took knowledge to set it up correctly. I'd rather not have to learn how to make my CPU run at stock, you know, like the old days.
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |