Discussion Leading Edge Foundry Node advances (TSMC, Samsung Foundry, Intel) - [2020 - 2025]

Page 100 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

DisEnchantment

Golden Member
Mar 3, 2017
1,687
6,243
136
TSMC's N7 EUV is now in its second year of production and N5 is contributing to revenue for TSMC this quarter. N3 is scheduled for 2022 and I believe they have a good chance to reach that target.


N7 performance is more or less understood.


This year and next year TSMC is mainly increasing capacity to meet demands.

For Samsung the nodes are basically the same from 7LPP to 4 LPE, they just add incremental scaling boosters while the bulk of the tech is the same.

Samsung is already shipping 7LPP and will ship 6LPP in H2. Hopefully they fix any issues if at all.
They have two more intermediate nodes in between before going to 3GAE, most likely 5LPE will ship next year but for 4LPE it will probably be back to back with 3GAA since 3GAA is a parallel development with 7LPP enhancements.




Samsung's 3GAA will go for HVM in 2022 most likely, similar timeframe to TSMC's N3.
There are major differences in how the transistor will be fabricated due to the GAA but density for sure Samsung will be behind N3.
But there might be advantages for Samsung with regards to power and performance, so it may be better suited for some applications.
But for now we don't know how much of this is true and we can only rely on the marketing material.

This year there should be a lot more available wafers due to lack of demand from Smartphone vendors and increased capacity from TSMC and Samsung.
Lots of SoCs which dont need to be top end will be fabbed with N7 or 7LPP/6LPP instead of N5, so there will be lots of wafers around.

Most of the current 7nm designs are far from the advertized density from TSMC and Samsung. There is still potential for density increase compared to currently shipping products.
N5 is going to be the leading foundry node for the next couple of years.

For a lot of fabless companies out there, the processes and capacity available are quite good.
 

FlameTail

Diamond Member
Dec 15, 2021
3,193
1,833
106
However, Gelsinger claimed that, ‘But the backside power delivery, everybody says Intel, score.’ He further stated, ‘it gives better area efficiency for silicon, which means lower cost. It gives better power delivery, which means higher performance.’

Gelsinger mentioned that good transistor and great power delivery make 18A a little bit ahead of N2. Besides, TSMC has given a very high-cost envelope, where Intel can fit underneath to be margin accretive.


"Our internal assessment shows that our N3P […] demonstrated comparable [power performance area] to [Intel] 18A, my competitor's technology, but with an earlier time to market, better technology maturity, and much better cost," said C.C. Wei, chief executive of TSMC, at the company's earnings call (via The Motley Fool). "In fact, let me repeat again, our 2nm technology without backside power (N2) is more advanced than both N3P and 18A, and will be the semiconductor industry's most advanced technology when it is introduced in 2025."


N3 is highly over rated. And with N2, they couldn't even get backside power delivery working!

N3E/N3P good.

With regard to N2, TSMC initially said the base N2 will not have BSPDN, and N2P will add it. But in a more recent Foundry forum event (https://morethanmoore.substack.com/p/tsmc-oip-forum-fabs-n3n2bspn), they have mentioned N2 does have a version with Backside Power delivery. First comes N2, N2+BSPD 6 months later, and finally N2P.

Intel foundry is reaching parity with TSMC this year. And they're moving ahead after that with 14A.

Note: 14A is a High-NA node. N2 is just regular EUV.

And when is 14A coming to market? It's production starts in 2026, and it won't come to market in products until 2027.



So the competition will be as follows:

2025: 18A vs N3P
2026: 18A-P vs N2+BSPDN
2027: 14A vs N2P
 

SiliconFly

Golden Member
Mar 10, 2023
1,220
635
96






N3E/N3P good.

With regard to N2, TSMC initially said the base N2 will not have BSPDN, and N2P will add it. But in a more recent Foundry forum event (https://morethanmoore.substack.com/p/tsmc-oip-forum-fabs-n3n2bspn), they have mentioned N2 does have a version with Backside Power delivery. First comes N2, N2+BSPD 6 months later, and finally N2P.



And when is 14A coming to market? It's production starts in 2026, and it won't come to market in products until 2027.

View attachment 94434

So the competition will be as follows:

2025: 18A vs N3P
2026: 18A-P vs N2+BSPDN
2027: 14A vs N2P

14A is coming at the SAME TIME as N2 with Backside Power Delivery!

Like Pat said, starting next year, Intel will take process leadership.

2025: 18A superior to N3 & variants
2026: 14A superior to ALL tmsc offerings.
 

FlameTail

Diamond Member
Dec 15, 2021
3,193
1,833
106
Thats cos TSMC has process leadership now. Thats all set to change starting next year.

I am skeptical that Intel's process nodes are suitable for smartphone SoCs, even if it works great for HPC.

It was one of the reasons why Qualcomm cancelled their work with Intel 20A.

He said Intel has been more focused on chipmaking technology that works in high-performance processors like those used in PCs. Making chips for mobile phones with limited battery lives requires new skills and new circuit designs. Intel said recently it is collaborating with Arm, a chip-design company that specializes in cellphone circuits.Qualcomm, which designs chips and outsources manufacturing, wanted to work with Intel, and assigned a team of engineers to work toward making mobile-phone chips at Intel’s factories. It was particularly interested in a cutting-edge chip-making technology that Intel hopes will be the most advanced in the world by late next year.

In early 2022, Intel’s foundry arm sent a delegation to Qualcomm’s San Diego headquarters, where they met with CEO Cristiano Amon. Then Intel missed a June performance milestone toward producing those chips commercially. It missed another in December.

Qualcomm executives concluded Intel would struggle making the kind of cellphone chips they wanted, even if it succeeded in making high-performance processors. Qualcomm told Intel it was pausing work while it waits for Intel to show progress, according to people involved in the discussions.

 

Khato

Golden Member
Jul 15, 2001
1,225
281
136
I am skeptical that Intel's process nodes are suitable for smartphone SoCs, even if it works great for HPC.
The different targets for a particular node was one of the reasons Pat Gelsinger cited for the collaboration with UMC on a 12nm node in the interview with Ian Cutress. UMC gets a process that can be fabbed in the US along with Intel's leading edge experience, Intel gets UMC's experience making nodes for more than just HPC among other insights.
 

Doug S

Platinum Member
Feb 8, 2020
2,507
4,104
136
N3 is highly over rated. And with N2, they couldn't even get backside power delivery working!

Intel foundry is reaching parity with TSMC this year. And they're moving ahead after that with 14A.

Note: 14A is a High-NA node. N2 is just regular EUV.

"Couldn't get backside power delivery working"?

Based on what? TSMC always takes a conservative approach, rolling out new stuff in pieces rather than all at once like Intel tends to do. So they introduced N7 made on DUV only, then later N7+ adding a few layers of EUV. I suppose you would argue that "TSMC couldn't get EUV working" for N7 as well?

When Intel's plans work, that's great. But when they fail due to trying to do too much at once, they fail spectacularly. Remember 10nm? I know those who believe Intel can do no wrong want to forget about that, but that was purely due to Intel hubris and trying to do too much at once. Maybe instead of just assuming Intel will deliver you might want to wait and see if they actually do, and can deliver it at scale? They were pretty puffed up about how great 10nm before its release despite them knowing how bad it really was, so you can't just assume their claims about 18A and 14A are automatically true. They lied before, I won't take them at their word until there are mass production quantities shipping, not statements from the CEO or "leaks" from people in the know....because they played that game on everything once before so it is smart to be a bit skeptical and make them prove it.

I'm also a little skeptical of their timelines, given how long the gap was between the claim that Intel 4 was ready for mass production and when they actually started shipping Intel 4 chips. They might claim mass production of 18A on a given date, but will we have to wait nearly a year before we see chips as was the case with Intel 4?
 

H433x0n

Golden Member
Mar 15, 2023
1,073
1,281
96
Based on what? TSMC always takes a conservative approach, rolling out new stuff in pieces rather than all at once like Intel tends to do. So they introduced N7 made on DUV only, then later N7+ adding a few layers of EUV. I suppose you would argue that "TSMC couldn't get EUV working" for N7 as well?
TSMC just had to backtrack with N3, they were a year late and had to reset expectations and reduce density to get an economic node (N3E). This stuff happens, it’s literally the most difficult science known to man.

When Intel's plans work, that's great. But when they fail due to trying to do too much at once, they fail spectacularly. Remember 10nm? I know those who believe Intel can do no wrong want to forget about that, but that was purely due to Intel hubris and trying to do too much at once. Maybe instead of just assuming Intel will deliver you might want to wait and see if they actually do, and can deliver it at scale? They were pretty puffed up about how great 10nm before its release despite them knowing how bad it really was, so you can't just assume their claims about 18A and 14A are automatically true. They lied before, I won't take them at their word until there are mass production quantities shipping, not statements from the CEO or "leaks" from people in the know....because they played that game on everything once before so it is smart to be a bit skeptical and make them prove it.

I'm also a little skeptical of their timelines, given how long the gap was between the claim that Intel 4 was ready for mass production and when they actually started shipping Intel 4 chips. They might claim mass production of 18A on a given date, but will we have to wait nearly a year before we see chips as was the case with Intel 4?
Excess hubris was a factor with 10nm, but it wasn’t just hubris. There’s an inherent burden with being the technology leader. You’re the first through the breach and on your own when developing the cutting edge. When it came to 10nm, Intel was caught in an awkward situation with the timing of EUV and the challenges of multi patterning at that scale and they failed. TSMC has encountered this twice, the first was EUV and the 2nd was when they discovered the limits of early generation of EUV with N3. They passed the first challenge and stumbled a bit on the second.

Those that adopted EUV after TSMC have an easier task since the ecosystem (ASML) gets to piggyback off of that trailblazing that TSMC bear the cost for. Stumbles at the leading edge aren’t fatal and they’re not necessarily predictive of future progress.
 
Reactions: moinmoin

SiliconFly

Golden Member
Mar 10, 2023
1,220
635
96
"Couldn't get backside power delivery working"?

Based on what? TSMC always takes a conservative approach, rolling out new stuff in pieces rather than all at once like Intel tends to do. So they introduced N7 made on DUV only, then later N7+ adding a few layers of EUV. I suppose you would argue that "TSMC couldn't get EUV working" for N7 as well?

When Intel's plans work, that's great. But when they fail due to trying to do too much at once, they fail spectacularly. Remember 10nm? I know those who believe Intel can do no wrong want to forget about that, but that was purely due to Intel hubris and trying to do too much at once. Maybe instead of just assuming Intel will deliver you might want to wait and see if they actually do, and can deliver it at scale? They were pretty puffed up about how great 10nm before its release despite them knowing how bad it really was, so you can't just assume their claims about 18A and 14A are automatically true. They lied before, I won't take them at their word until there are mass production quantities shipping, not statements from the CEO or "leaks" from people in the know....because they played that game on everything once before so it is smart to be a bit skeptical and make them prove it.

I'm also a little skeptical of their timelines, given how long the gap was between the claim that Intel 4 was ready for mass production and when they actually started shipping Intel 4 chips. They might claim mass production of 18A on a given date, but will we have to wait nearly a year before we see chips as was the case with Intel 4?
Intel messed up with 10nm. But TSMC messed up with both N3 & N2. With N3, the first node N3B was a colossal mess up & they're moving it in bulk to N3E which isn't up and running yet (delayed). With N2 they just couldn't get backside power delivery working on time. And by the time they actually launch N2 with BSPD, Intel will be rolling out 14A High-NA EUV.

Like Pat clearly mentioned, 18A is gonna be better than N2. And naturally, 14A High-NA is gonna be light years ahead of all TSMC offerings in 2026.

Intel process leadership is now just a matter of time. And it starts this year.
 
Reactions: clemsyn

Aapje

Golden Member
Mar 21, 2022
1,467
2,031
106
Intel process leadership is now just a matter of time. And it starts this year.
I hope this happens, because it should be good for the market. Third parties will have an incentive to move to Intel, giving Intel the opportunity to learn to deal with third parties. And TSMC will keep plenty of business, because of their track record, customer tooling and such, so it should rebalance the market a bit and put more competitive pressure on TSMC's pricing.

And hopefully Samsung can catch back up.

Ideally, we'll see three foundries trading blows in 5-10 years time.

But both Intel and Samsung need to move beyond the fancy talk and show that they can deliver.
 

moinmoin

Diamond Member
Jun 1, 2017
4,994
7,765
136
There’s an inherent burden with being the technology leader. You’re the first through the breach and on your own when developing the cutting edge.
I do wonder though how much of such experience is being shared between competing foundries? For one foundry to stumble over specific technical challenges and other foundries learning from that there has to be sufficient information about all that.
 

SiliconFly

Golden Member
Mar 10, 2023
1,220
635
96
I do wonder though how much of such experience is being shared between competing foundries? For one foundry to stumble over specific technical challenges and other foundries learning from that there has to be sufficient information about all that.
In an ideal world, all leading foundries like TSMC, Samsung, Intel, GF, each should have 25% share of the market, should collaborate with each other on leading edge technologies, and each should have some special offerings to differentiate one from other. But thats just dreaming.
 

SiliconFly

Golden Member
Mar 10, 2023
1,220
635
96
There's fundamental research made e.g. by IMEC.
Foundries don't 'invent' everything.
Once imec is sure that a new transistor tech is viable for mass production, they just release the basic design/blueprint I think. Actual real world implementation/customization, I believe, is done by the foundries using their own r&d and/or licensed tech.
 

Doug S

Platinum Member
Feb 8, 2020
2,507
4,104
136
Intel messed up with 10nm. But TSMC messed up with both N3 & N2. With N3, the first node N3B was a colossal mess up & they're moving it in bulk to N3E which isn't up and running yet (delayed). With N2 they just couldn't get backside power delivery working on time. And by the time they actually launch N2 with BSPD, Intel will be rolling out 14A High-NA EUV.

Like Pat clearly mentioned, 18A is gonna be better than N2. And naturally, 14A High-NA is gonna be light years ahead of all TSMC offerings in 2026.

Intel process leadership is now just a matter of time. And it starts this year.

You are making a very false assumption that "with N2 they couldn't get backside power delivery on time".

TSMC said that N2 with BSPDN will follow six months after the release of N2, and that TSMC is making that optional because it increases cost and some customers may need/want it. Also note the timing, with N2 hitting mass production in H2 2025, that means N2+BSPDN hits mass production in H1 2026. Guess which huge customer cares about being able to begin mass production before H2, and upon whose schedule TSMC has rolled out every process (except N3 where yes they screwed up but not nearly as badly as Intel did with 10nm which was delayed by several YEARS)

Now maybe you say you don't believe TSMC's statements about BSPDN, but if so why do you accept Intel's statements such as 18A being better than N2? You must either work for Intel or own millions in their shares because you aren't looking at this remotely objectively. You buy everything they say without any question, dismiss the 10nm debacle as "a mess up", and look at everything TSMC does in the most negative light possible.
 

Hitman928

Diamond Member
Apr 15, 2012
5,611
8,826
136
You are making a very false assumption that "with N2 they couldn't get backside power delivery on time".

TSMC said that N2 with BSPDN will follow six months after the release of N2, and that TSMC is making that optional because it increases cost and some customers may need/want it. Also note the timing, with N2 hitting mass production in H2 2025, that means N2+BSPDN hits mass production in H1 2026. Guess which huge customer cares about being able to begin mass production before H2, and upon whose schedule TSMC has rolled out every process (except N3 where yes they screwed up but not nearly as badly as Intel did with 10nm which was delayed by several YEARS)

Now maybe you say you don't believe TSMC's statements about BSPDN, but if so why do you accept Intel's statements such as 18A being better than N2? You must either work for Intel or own millions in their shares because you aren't looking at this remotely objectively. You buy everything they say without any question, dismiss the 10nm debacle as "a mess up", and look at everything TSMC does in the most negative light possible.

BSPD is for sure not going to be wanted by every customer. As you said, it increases costs but it also creates heat dissipation challenges. It's a great tech and many customers will value it, but it's not right for everyone or for every product.
 

SiliconFly

Golden Member
Mar 10, 2023
1,220
635
96
You must either work for Intel or own millions in their shares because you aren't looking at this remotely
Don't make it personal man. Looks like you're a amd shareholder who wants Intel to fail!!! Also, if I have that kinda money, I wouldn't be spending my time here. Hawaii sounds better!

If you REALLY want to be objective, then first think thru. They have kept pace and executed well in the last couple of years! Now what makes you think they're going to suddenly trip and fall flat on the face and fail as a foundry?

It's not like Intel doesn't know anything about foundry business at all. In general, public memory is short. What about yours? Intel has been the leader for 4 decades (not TSMC). Intel just slipped once badly for 5 - 6 years due to a colossal mess up. Now do you believe they're gonna stay that way forever? I don't think so.

Don't you think there's a chance they may get back up and take a lead considering they've been working solely on just that. The whole point of wasting so much time, money & resource in 5N4Y is just to regain process leadership. So, in essence, I believe there's a good chance they might actually do it next year.
 
Last edited:

ashFTW

Senior member
Sep 21, 2020
312
235
96
Don't make it personal man. Looks like you're a amd shareholder!!! Also, if I have that kinda money, I wouldn't be spending my time here. Hawaii sounds better!
Apple actually. I too have a modest amount of Apple stocks and consequently have a huge bias towards them.
 
Last edited:

ashFTW

Senior member
Sep 21, 2020
312
235
96
BSPD is for sure not going to be wanted by every customer. As you said, it increases costs but it also creates heat dissipation challenges. It's a great tech and many customers will value it, but it's not right for everyone or for every product.
So do you think there will always be processes with and without BSPD moving forward?
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |