Discussion Leading Edge Foundry Node advances (TSMC, Samsung Foundry, Intel) - [2020 - 2025]

Page 122 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

DisEnchantment

Golden Member
Mar 3, 2017
1,684
6,227
136
TSMC's N7 EUV is now in its second year of production and N5 is contributing to revenue for TSMC this quarter. N3 is scheduled for 2022 and I believe they have a good chance to reach that target.


N7 performance is more or less understood.


This year and next year TSMC is mainly increasing capacity to meet demands.

For Samsung the nodes are basically the same from 7LPP to 4 LPE, they just add incremental scaling boosters while the bulk of the tech is the same.

Samsung is already shipping 7LPP and will ship 6LPP in H2. Hopefully they fix any issues if at all.
They have two more intermediate nodes in between before going to 3GAE, most likely 5LPE will ship next year but for 4LPE it will probably be back to back with 3GAA since 3GAA is a parallel development with 7LPP enhancements.




Samsung's 3GAA will go for HVM in 2022 most likely, similar timeframe to TSMC's N3.
There are major differences in how the transistor will be fabricated due to the GAA but density for sure Samsung will be behind N3.
But there might be advantages for Samsung with regards to power and performance, so it may be better suited for some applications.
But for now we don't know how much of this is true and we can only rely on the marketing material.

This year there should be a lot more available wafers due to lack of demand from Smartphone vendors and increased capacity from TSMC and Samsung.
Lots of SoCs which dont need to be top end will be fabbed with N7 or 7LPP/6LPP instead of N5, so there will be lots of wafers around.

Most of the current 7nm designs are far from the advertized density from TSMC and Samsung. There is still potential for density increase compared to currently shipping products.
N5 is going to be the leading foundry node for the next couple of years.

For a lot of fabless companies out there, the processes and capacity available are quite good.
 

SiliconFly

Golden Member
Mar 10, 2023
1,190
612
96
i20A exists in the most nominal sense of that word (it basically does not).
Now, i18A is both CWF and PTLs and yes it will be the first commercial GAA + BSPDN anything on the market!
Wrong. 20A & 18A are vastly different nodes. And ARL-H mobile is based on 20A. Meaning, it needs to hit critical volume for Intel to survive. 20A is not a joke node like you say.
 
Reactions: Henry swagger

Ghostsonplanets

Senior member
Mar 1, 2024
536
943
96
Wrong. 20A & 18A are vastly different nodes. And ARL-H mobile is based on 20A. Meaning, it needs to hit critical volume for Intel to survive. 20A is not a joke node like you say.
? 20A is basically a preview nodelet of 18A. It doesn't feature full library nor is meant to be used for anything else than ARL-S 6+8, just like Intel 4 wasn't meant for anything other than Meteor Lake Compute Tile. It's a de-risk measure.

18A is the node which will hit volume, not 20A. Panther Lake will be the volume driver for 18A.
 

SiliconFly

Golden Member
Mar 10, 2023
1,190
612
96
? 20A is basically a preview nodelet of 18A. It doesn't feature full library nor is meant to be used for anything else than ARL-S 6+8, just like Intel 4 wasn't meant for anything other than Meteor Lake Compute Tile. It's a de-risk measure.

18A is the node which will hit volume, not 20A. Panther Lake will be the volume driver for 18A.
20A is ARL-H. And yes, it needs to hit volume for Intel to do well on mobile clients. Otherwise, Intel will be in trouble.
 

DavidC1

Senior member
Dec 29, 2023
357
560
96
Wrong. 20A & 18A are vastly different nodes. And ARL-H mobile is based on 20A. Meaning, it needs to hit critical volume for Intel to survive. 20A is not a joke node like you say.
I don't know what is a "joke" node but it's limited as Intel 4 is, and based on current leaks, it's even more limited being used only on a subset of a smaller market unlike Meteorlake.

It doesn't have enough libraries and it'll likely underperform despite "PowerVia and RibbonFET". High level specs mean nothing when they are immature. Why do you think TSMC is being used for the rest? Are they just trolling Intel Foundry?

You keep flip flopping being extremely biased towards them and in the next minute, calling doom and gloom for Intel. How old are you?
 

SiliconFly

Golden Member
Mar 10, 2023
1,190
612
96
You keep flip flopping being extremely biased towards them and in the next minute...
I don't prefer worshiping any companies.

It doesn't have enough libraries
Of course everyone knows it doesn't have the full suite of libraries. It's their first take on GAAFET+BSPD. And both at the same time.

and it'll likely underperform despite "PowerVia and RibbonFET". High level specs mean nothing when they are immature.
Apparently, you're the one spreading doom & gloom!

Why do you think TSMC is being used for the rest? Are they just trolling Intel Foundry?
Simple answer. Capacity. It takes time to build capacity.

How old are you?
Just born!

(Note: Recommend we avoid s***** personal attacks.)
 
Last edited:

DavidC1

Senior member
Dec 29, 2023
357
560
96
Apparently, you're the one spreading doom & gloom!
This is why I ask how old you are.

This is not gloom and doom, but following the rationale behind their decisions. If 20A was so good, why would it be used in an extremely limited fashion and it's N3 elsewhere? It's not being used for mobile, where it needs to be power efficient and it's not being used for K where it needs performance.

Then the conclusion is very likely that 20A is just not that very good. 6+8 -S is a middling part so little bit underperforming isn't a problem. 20A is a "joke" node.

It is similar to Intel 4 vs 3 situation. With Intel 4 we have Meteorlake, which is underwhelming. Then we have Intel 3 with Sierra Forest which is pretty good. This is how Pat is skipping nodes, by establishing enough of a base for yield learning and then bringing the real one quickly. That means the "yield vehicle" could suck.
 

reaperrr3

Junior Member
May 31, 2024
5
11
36
Simple answer. Capacity. It takes time to build capacity.
And money, and herein lies the problem with 20A, in my opinion.

Everyone including Intel themselves is waiting for 18A for the big volume products, so they'll likely never install 20A anywhere outside their one R&D production line in Santa Clara (at least that's where I'd expect it to be located), as the products built with it will be relatively short-lived, relatively low-volume and relatively low-margin, so investing too much capital on such a stop-gap process isn't worth it.

That doesn't have to mean it's bad, but since something better (and more complete in terms of libraries) is more or less around the corner, they just won't bother equipping additional fabs with 20A, or optimizing it as much as a high-volume process.
 

trivik12

Senior member
Jan 26, 2006
319
288
136
its almost feel like to hype the progress Intel created Intel 4/20A which seem like a beta node from which the real stuff will be on Intel 3/Intel 18A. Full node cost like 10B-20B. So it needs multiple products over several years to pay back. I wonder if we will see in Intel financial statements around how Intel 4 will be depreciated/Amortized.
 

Khato

Golden Member
Jul 15, 2001
1,224
278
136
so they'll likely never install 20A anywhere outside their one R&D production line in Santa Clara (at least that's where I'd expect it to be located)
FYI, Intel's R&D production line is D1X in Hillsboro Oregon. Despite the 'silicon valley' name, Santa Clara hasn't had active fabs for quite some time. Just a few too many earthquakes around here compared to alternative locations.
 

Geddagod

Golden Member
Dec 28, 2021
1,202
1,166
106
intel keeps being unable to create high perf/watt chips in their new nodes

it's either 20watt ULV in new nodes or 300watt monsters in old nodes, consistent pattern

this is reflected in meteor lake regression as well

can someone explain this pattern in real terms
That's not it.
The new nodes are limited in terms of Fmax, partly due to just Intel's inability to get higher clocks, but also because their old nodes also are improved to such an extent (due to them being able to improve it for years, as well as perfect binning and yields) that their Fmax is often able to out compete the final version of their old node.
You bring up MTL as an example, so let's see- MTL's fmax is 5.1 GHz, vs the 12900H Fmax of 5GHz. The 13900H, which I should remind you, was never meant to exist if Intel was able to hit their original timelines, is the one that hits 5.4GHz, giving MTL a regression. If Intel followed their original roadmap, then MTL wouldn't be a regression (or at least not a large one).
I don't prefer worshiping any companies.
That's not how you show you don't worship companies. You show that by being moderate in all your stances (well the ones that deserve it), not going from one polar opposite to another.
MLID does the exact same thing- either something Intel does is amazing, or something Intel does is DOA. There is no in between- when in reality, there often is.
its almost feel like to hype the progress Intel created Intel 4/20A which seem like a beta node from which the real stuff will be on Intel 3/Intel 18A. Full node cost like 10B-20B. So it needs multiple products over several years to pay back. I wonder if we will see in Intel financial statements around how Intel 4 will be depreciated/Amortized.
This applies less for 20A, but Intel didn't create Intel 4 for hype, they needed Intel 4 to catch up to AMD as fast as possible. The incomplete libs is a result of them needing to push out a node as fast as possible, not for stocks, but for being competitive. MTL is going to be pushing out as much volume as they can, and don't forget, Granite Rapids was originally meant to be on Intel 4 as well before it was pushed back.
Intel not having as much volume on 20A/Intel 4 never seemed to be the original plan- rather it seems to be a by product of product delays, or in the case of Intel 20A- perhaps just not being competitive enough, or ready on time.
 
Reactions: Tlh97 and Elfear

SiliconFly

Golden Member
Mar 10, 2023
1,190
612
96
This is why I ask how old you are.

This is not gloom and doom, but following the rationale behind their decisions. If 20A was so good, why would it be used in an extremely limited fashion and it's N3 elsewhere? It's not being used for mobile, where it needs to be power efficient and it's not being used for K where it needs performance.

Then the conclusion is very likely that 20A is just not that very good. 6+8 -S is a middling part so little bit underperforming isn't a problem. 20A is a "joke" node.

It is similar to Intel 4 vs 3 situation. With Intel 4 we have Meteorlake, which is underwhelming. Then we have Intel 3 with Sierra Forest which is pretty good. This is how Pat is skipping nodes, by establishing enough of a base for yield learning and then bringing the real one quickly. That means the "yield vehicle" could suck.
I already said it many times before & will repeat it again. One single word. Capacity!
 

SiliconFly

Golden Member
Mar 10, 2023
1,190
612
96
This is why I ask how old you are.
Like I mentioned before, I'm new born! Also, mentioned to forego personal attacks. What about you? Still born?

ihis is reflected in meteor lake regression as well ... can someone explain this pattern in real terms
It's not good. But we're expecting the regression to be fixed with Lunar Lake.

Everyone including Intel themselves is waiting for 18A for the big volume products,...
20A was never about big volume. It's al about delivering a single vertical. A purpose built node for a very clearly defined task. ARL.

Here you go... ...Your claim to fame for coining the term "Joke Node"!

Hint: You can publish in minutes with ChatGPT!
Thank you. But I believe 20A is actually gonna deliver what it was intended for.

its almost feel like to hype the progress Intel created Intel 4/20A which seem like a beta node from which the real stuff will be on Intel 3/Intel 18A. Full node cost like 10B-20B. So it needs multiple products over several years to pay back. I wonder if we will see in Intel financial statements around how Intel 4 will be depreciated/Amortized.
Fact is, overall IFS financials matter. For 18A to succeed, they have to start with 20A. Just what exactly is happening now. Not something trivial. I believe Intel has to deliver on their 20A promise, otherwise they're in big trouble. And I strongly believe they're gonna deliver in 2nm GAAFET + BSPD. No negative leaks as of now.
That's not how you show you don't worship companies. You show that by being moderate in all your stances (well the ones that deserve it), not going from one polar opposite to another.
MLID does the exact same thing- either something Intel does is amazing, or something Intel does is DOA. There is no in between- when in reality, there often is.
Sorry pal. You just ignored to see what I just said " the year of the equals". And I wasn't joking. I meant it & I still do. What you fail to recognize is, Intel still has a lot of hurdles before they become no. 1. Until then...
 

DavidC1

Senior member
Dec 29, 2023
357
560
96
Fact is, overall IFS financials matter. For 18A to succeed, they have to start with 20A. Just what exactly is happening now. Not something trivial. I believe Intel has to deliver on their 20A promise, otherwise they're in big trouble. And I strongly believe they're gonna deliver in 2nm GAAFET + BSPD. No negative leaks as of now.
Whatever Koolaid you need to think 20A will "deliver" when it's only going to be used in a subsection of a smaller market.

In fact I can't think of a better endorsement for how AWESOMESAUCE 20A will be.
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |