Discussion Leading Edge Foundry Node advances (TSMC, Samsung Foundry, Intel) - [2020 - 2025]

Page 131 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

DisEnchantment

Golden Member
Mar 3, 2017
1,747
6,598
136
TSMC's N7 EUV is now in its second year of production and N5 is contributing to revenue for TSMC this quarter. N3 is scheduled for 2022 and I believe they have a good chance to reach that target.


N7 performance is more or less understood.


This year and next year TSMC is mainly increasing capacity to meet demands.

For Samsung the nodes are basically the same from 7LPP to 4 LPE, they just add incremental scaling boosters while the bulk of the tech is the same.

Samsung is already shipping 7LPP and will ship 6LPP in H2. Hopefully they fix any issues if at all.
They have two more intermediate nodes in between before going to 3GAE, most likely 5LPE will ship next year but for 4LPE it will probably be back to back with 3GAA since 3GAA is a parallel development with 7LPP enhancements.




Samsung's 3GAA will go for HVM in 2022 most likely, similar timeframe to TSMC's N3.
There are major differences in how the transistor will be fabricated due to the GAA but density for sure Samsung will be behind N3.
But there might be advantages for Samsung with regards to power and performance, so it may be better suited for some applications.
But for now we don't know how much of this is true and we can only rely on the marketing material.

This year there should be a lot more available wafers due to lack of demand from Smartphone vendors and increased capacity from TSMC and Samsung.
Lots of SoCs which dont need to be top end will be fabbed with N7 or 7LPP/6LPP instead of N5, so there will be lots of wafers around.

Most of the current 7nm designs are far from the advertized density from TSMC and Samsung. There is still potential for density increase compared to currently shipping products.
N5 is going to be the leading foundry node for the next couple of years.

For a lot of fabless companies out there, the processes and capacity available are quite good.

---------------------------------------------------------------------------------------------------------------------------------------------------


FEEL FREE TO CREATE A NEW THREAD FOR 2025+ OUTLOOK, I WILL LINK IT HERE
 
Last edited:

Doug S

Platinum Member
Feb 8, 2020
2,698
4,577
136
Can anyone translate that confusing wording regarding the "eight production tape-ins that we expect to finish by the middle of next year". Are they saying that the tape-ins will occur by the middle of next year, or does "expect to finish" mean something else? Because if they mean the former it would have made a lot more sense to just say "we expect to have eight tape-ins from foundry customers by the middle of next year".
 

Hitman928

Diamond Member
Apr 15, 2012
6,024
10,349
136
Can anyone translate that confusing wording regarding the "eight production tape-ins that we expect to finish by the middle of next year". Are they saying that the tape-ins will occur by the middle of next year, or does "expect to finish" mean something else? Because if they mean the former it would have made a lot more sense to just say "we expect to have eight tape-ins from foundry customers by the middle of next year".

I read it basically as you do, that they are currently engaged with customers to have 8 product tape-ins by the middle of next year. Intel has always been screwy with how they describe their fab and tape-in / tape-out schedules.
 

jdubs03

Senior member
Oct 1, 2013
666
289
136
I read it basically as you do, that they are currently engaged with customers to have 8 product tape-ins by the middle of next year. Intel has always been screwy with how they describe their fab and tape-in / tape-out schedules.
Plausible deniability at its finest. 😏
Allows them to shift the goalposts as seen fit.
But tbh I’m rooting for them to get their execution done. They need it and really consumers need it.
 
Reactions: dr1337

DrMrLordX

Lifer
Apr 27, 2000
21,991
11,542
136
I’m guessing he gave hard numbers on defect density in response to Stacy Rasgon and the report in Digitimes. That’s not a bad defect density for this stage in development, that’s actually pretty good considering how complex 18A is.
Assuming 18a is a heavily-tweaked 20a, Intel is starting at an advantage.
 
Reactions: cebri1
Jul 27, 2020
19,613
13,473
146
They should've already started the foundry separation process once they needed to buy TSMC wafer capacity but seems they were in denial and only now the true realization of their follies is hitting them.
 
Reactions: KompuKare

cebri1

Senior member
Jun 13, 2019
246
250
136
It’s already a separate business and it’s reported as such in the 10Ks. I would also say that is has been mostly spin off after the deals with Apollo and Brookfield.

Those defect density rates look good, under 0.4, 3-4Q away from HVM. I wonder how many years it took the 10nm process to reach that number.
 
Reactions: adamge

maddie

Diamond Member
Jul 18, 2010
4,878
4,949
136
Intel considering splitting the Foundry Business and Product business;

From a US point of view, the risk here is that this might make it worse for the design side & Gov financial support.

Strategic interest angle tells me that the advanced Fab business is more important, with the design side less important as there are many existing indigenous design firms.
 

jpiniero

Lifer
Oct 1, 2010
15,098
5,660
136
I think the question is whether Intel can get enough wafers (and still maintain decent enough margins) from TSMC in a world where all Intel's products are fabbed there.

In the short term, most of the volume will continue to be at 10 nm and friends. But eventually that will dry up.
 

DrMrLordX

Lifer
Apr 27, 2000
21,991
11,542
136
I think the question is whether Intel can get enough wafers (and still maintain decent enough margins) from TSMC in a world where all Intel's products are fabbed there.

In the short term, most of the volume will continue to be at 10 nm and friends. But eventually that will dry up.

10nm/Intel7 is losing market relevance. They can't survive much longer on that node. There's nothing for them to serve up in consumer space, and in dcg it's Emerald Rapids which isn't faring well against Genoa (and which will be massacred by Turin). TSMC will be a reliable partner for them so long as N3B remains relevant. Intel dumped a ton of cash on that wafer supply. Anything beyond that will cost them money they don't necessarily have.

It does seem evident that they can supply the consumer market with their N3B for now, but datacentre? No probably not.
 

inquiss

Member
Oct 13, 2010
176
260
136
I think the question is whether Intel can get enough wafers (and still maintain decent enough margins) from TSMC in a world where all Intel's products are fabbed there.

In the short term, most of the volume will continue to be at 10 nm and friends. But eventually that will dry up.
Probably for this round but can they pony up the cash for the next round. Be an interesting dynamic intel vs AMD at TSMC. One of Intel's advantages has been volume - they are a realiable partner for OEMs because they can guarantee supply. AMD has been burned before going for volume and having to sell cheap, so they generally look like they try to win incremental share. Different dynamic if both on TSMC. Then it's about margins and costs, and I'd wager AMD has the advantage here if both on TSMC. Chiplet tech has cost advantages that I don't think intel can catch yet - particularly in server.
 
Reactions: Tlh97

inquiss

Member
Oct 13, 2010
176
260
136
Can't see that going well for them!
Will go totally fine for a while - they can supply the bulk of laptops, ostensibly still supply most of the large OEMs over AMD, can guarantee supply and even if they have burned some bridges recently with OEMS (and thats a massive unlikely situations - the bulk of chips they sell to OEMS are fine), that will be for designs starting now - years away.
 

DavidC1

Senior member
Dec 29, 2023
777
1,236
96
Intel stock is up 7% on the news. Seems the market approves of the idea of Intel spinning off its fabs.
Stock market has always been about short term gains. In few months or few years, all you see is benefits, but the mentality/ideology creeps in like cancer cells spreading throughout the body, slowly but methodically.

Pat has things to blame too. It's not just previous CEOs. The failed Tower acquisition, the over-ambitious hiring of tens of thousands during an artificially propped up peak,* failure to see emerging trends(AI).

*It's said commonly that average investors lose money because they buy the peak and sell the low. Looks like they have done the exact same thing. The trick is to learn from it and have patience and will power NOT to do that. The truth is everyone will make mistakes at some point, even the best of us.

The die may have been inevitably cast years before they even thought of bringing back Pat.
 
Reactions: Kryohi

OriAr

Member
Feb 1, 2019
93
84
91
10nm/Intel7 is losing market relevance. They can't survive much longer on that node. There's nothing for them to serve up in consumer space, and in dcg it's Emerald Rapids which isn't faring well against Genoa (and which will be massacred by Turin). TSMC will be a reliable partner for them so long as N3B remains relevant. Intel dumped a ton of cash on that wafer supply. Anything beyond that will cost them money they don't necessarily have.

It does seem evident that they can supply the consumer market with their N3B for now, but datacentre? No probably not.
Granite Rapids is out next month for DC and it should beat Genoa more often than not, while being perfectly competitive with Turin if SRF is anything to go by. (And SRF is VERY good at what it's supposed to do, Clearwater Forest is gonna be even better next year and Diamond Rapids will beat Turin and at least be competitive against Venice.
It's been a bumpy ride to say the least but Intel can see the light at the end of the tunnel as far as the server (And workstation/HEDT) market is concerned.
 
Reactions: lightisgood
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |