Discussion Leading Edge Foundry Node advances (TSMC, Samsung Foundry, Intel) - [2020 - 2025]

Page 133 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

DisEnchantment

Golden Member
Mar 3, 2017
1,747
6,598
136
TSMC's N7 EUV is now in its second year of production and N5 is contributing to revenue for TSMC this quarter. N3 is scheduled for 2022 and I believe they have a good chance to reach that target.


N7 performance is more or less understood.


This year and next year TSMC is mainly increasing capacity to meet demands.

For Samsung the nodes are basically the same from 7LPP to 4 LPE, they just add incremental scaling boosters while the bulk of the tech is the same.

Samsung is already shipping 7LPP and will ship 6LPP in H2. Hopefully they fix any issues if at all.
They have two more intermediate nodes in between before going to 3GAE, most likely 5LPE will ship next year but for 4LPE it will probably be back to back with 3GAA since 3GAA is a parallel development with 7LPP enhancements.




Samsung's 3GAA will go for HVM in 2022 most likely, similar timeframe to TSMC's N3.
There are major differences in how the transistor will be fabricated due to the GAA but density for sure Samsung will be behind N3.
But there might be advantages for Samsung with regards to power and performance, so it may be better suited for some applications.
But for now we don't know how much of this is true and we can only rely on the marketing material.

This year there should be a lot more available wafers due to lack of demand from Smartphone vendors and increased capacity from TSMC and Samsung.
Lots of SoCs which dont need to be top end will be fabbed with N7 or 7LPP/6LPP instead of N5, so there will be lots of wafers around.

Most of the current 7nm designs are far from the advertized density from TSMC and Samsung. There is still potential for density increase compared to currently shipping products.
N5 is going to be the leading foundry node for the next couple of years.

For a lot of fabless companies out there, the processes and capacity available are quite good.

---------------------------------------------------------------------------------------------------------------------------------------------------


FEEL FREE TO CREATE A NEW THREAD FOR 2025+ OUTLOOK, I WILL LINK IT HERE
 
Last edited:

The Hardcard

Member
Oct 19, 2021
198
284
106
The process isn't supposed to move into HVM until Q2 2025, so yields still being below what's acceptable for HVM isn't exactly the gotcha news people think it is.
Question is what yields will be come June 2025.
I think it is the gotcha. Decisions for HVM in Q2 2025 need to be made now. In fact, it’s late in the day for Q2 2025 contracts. Broadcom wouldn’t be disappointed that Intel failed to meet their 2025 targets in 2024.

For every node bringup, their are numerous milestones to be achieved and metrics to be crossed at given points along the way. If the report is accurate Broadcom is disappointed with where Intel 18A is at here in Q3 2024. They would be thinking that based on what they are getting now, Intel won’t be ready manufacture their designs in 2025.
 

DavidC1

Senior member
Dec 29, 2023
779
1,237
96
I will say that I think it could be a misunderstanding in that Intel's communication on fab readiness and tape-in/out timelines has always been weird compared to the rest of the industry. So, when Intel says manufacturing ready and that really means risk production only, that may be causing confusion. All of this is just speculation on my part though.
So even in the optimistic light they still haven't got it on par with TSMC.

Qualcomm left very early on. It makes more and more sense now.

They went from 20A being 15% over Intel 3 and 18A being 10% over Intel 20A to 18A being just 15% over Intel 3. It also explains why the volume projections all the way until even 2030 is very low.

Folks, relying on Intel to continue US having process leadership is an exercise in futility. Neither design or fab side is promising in any way. They better court TSMC and Samsung.
 

SiliconFly

Golden Member
Mar 10, 2023
1,466
826
96
I'd be more concerned about what this means for 18A.
Article says it doesn't affect 18A. It seems killing 20A was a cost cutting measure as volume ramp requires hell a lot of money. Something they don't have at the moment.

They should also kill 18A, 14A, 10A & all other A(s) and should just go with TSMC. They might even easily beat Apple to become TSMC's No.1 customer in no time!
 

adamge

Member
Aug 15, 2022
81
160
76
Article says it doesn't affect 18A. It seems killing 20A was a cost cutting measure as volume ramp requires hell a lot of money. Something they don't have at the moment.

They should also kill 18A, 14A, 10A & all other A(s) and should just go with TSMC. They might even easily beat Apple to become TSMC's No.1 customer in no time!
That raises an interesting point. Is there a simple resource to see how many wafer starts per month each of the big companies is ordering? (Apple, Nvidia, Intel, AMD, Qualcomm...)
 

jpiniero

Lifer
Oct 1, 2010
15,106
5,664
136
That would lead to a Very Not Super Good product. So no.

Given how much people complain about NV's GPU prices, and will complain even more about Blackwell's prices... it'd be a way to keep costs down. Sure it'd be a worse product than on N4 but it might be better for the people who complain about the prices without going with AMD-like margins.
 

DavidC1

Senior member
Dec 29, 2023
779
1,237
96
Article says it doesn't affect 18A. It seems killing 20A was a cost cutting measure as volume ramp requires hell a lot of money. Something they don't have at the moment.
If it isn't affected, why is 18A performing like 20A over Intel 3, rather than getting the extra 10% as promised? Maybe they canned the extra work for the 10%.
 
Reactions: Joe NYC

DavidC1

Senior member
Dec 29, 2023
779
1,237
96
Maybe with 14A they’ll get that 10pct back!
(Wishful thinking right there).
14A is TWO years after 18A and it's 15% perf improvement with just 20% density gains. 14A doesn't even get density improvement equal to even if you do 18/14 without squaring the end result, like we used to do. "14A" should be called 16A.

18A is 30% density gain over Intel 3, so it's actually Intel 26A, and 14A is 20% density gain so it's 23A at best.
 

reaperrr3

Junior Member
May 31, 2024
14
37
51
Given how much people complain about NV's GPU prices, and will complain even more about Blackwell's prices... it'd be a way to keep costs down. Sure it'd be a worse product than on N4 but it might be better for the people who complain about the prices without going with AMD-like margins.
Samsung's SF5/4 nodes are still 7nm-class processes with minor improvements and marketing names trying to suggest a competitiveness to N5/4 that just isn't there.
I doubt they could afford to undercut TSMC's N7/N6 wafer prices by enough to make it worth it to Nvidia.
And even then, on a small low-end GPU, at N7/6 wafer prices the cost for the chip as such is maybe 30$.
Even if going to Samsung SF4 reduces that to 15$, how many chips would NV have to sell at *current* prices before they even recuperate the fixed cost for design, tape-out etc.?

It's just not worth it. Continuing to produce low-end Ampere on SS 8N at low volume makes more sense at that point, as Ampere is still relatively competitive to RDNA2/3 in terms of perf/W.

And anything newer, aka Samsung's 3nm GAA, likely still isn't ready for big chips like GPUs.
 

Doug S

Platinum Member
Feb 8, 2020
2,701
4,583
136
14A is TWO years after 18A and it's 15% perf improvement with just 20% density gains. 14A doesn't even get density improvement equal to even if you do 18/14 without squaring the end result, like we used to do. "14A" should be called 16A.

18A is 30% density gain over Intel 3, so it's actually Intel 26A, and 14A is 20% density gain so it's 23A at best.

Look at TSMC, they aren't getting much of a shrink with N2 and A16 either. You can quibble over the node naming, but linear scaling is running out of gas. Once they've both gone CFET, we'll have to go 3D or post silicon to further improve density.
 
Reactions: Executor_

DavidC1

Senior member
Dec 29, 2023
779
1,237
96
Look at TSMC, they aren't getting much of a shrink with N2 and A16 either. You can quibble over the node naming, but linear scaling is running out of gas. Once they've both gone CFET, we'll have to go 3D or post silicon to further improve density.
Sure, but there's no question about leadership for TSMC. We expected better for Intel, to live up to their claims. That wish is evaporating little by little every day.

They'll likely go how Keller outlined few years ago. It's nanowires after GAA, and then it's stacking NMOS/CMOS, then it's stacking layers of those. If after Intel bankrupts AMD still pursues the clockspeed is king approach, they'll follow Intel to the grave too.
 

Hitman928

Diamond Member
Apr 15, 2012
6,037
10,361
136
Sure, but there's no question about leadership for TSMC. We expected better for Intel, to live up to their claims. That wish is evaporating little by little every day.

They'll likely go how Keller outlined few years ago. It's nanowires after GAA, and then it's stacking NMOS/CMOS, then it's stacking layers of those. If after Intel bankrupts AMD still pursues the clockspeed is king approach, they'll follow Intel to the grave too.
Nanowires are a form of GAA. Stacked NMOS/PMOS is called CFET.
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |