Discussion Leading Edge Foundry Node advances (TSMC, Samsung Foundry, Intel) - [2020 - 2025]

Page 99 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

DisEnchantment

Golden Member
Mar 3, 2017
1,687
6,240
136
TSMC's N7 EUV is now in its second year of production and N5 is contributing to revenue for TSMC this quarter. N3 is scheduled for 2022 and I believe they have a good chance to reach that target.


N7 performance is more or less understood.


This year and next year TSMC is mainly increasing capacity to meet demands.

For Samsung the nodes are basically the same from 7LPP to 4 LPE, they just add incremental scaling boosters while the bulk of the tech is the same.

Samsung is already shipping 7LPP and will ship 6LPP in H2. Hopefully they fix any issues if at all.
They have two more intermediate nodes in between before going to 3GAE, most likely 5LPE will ship next year but for 4LPE it will probably be back to back with 3GAA since 3GAA is a parallel development with 7LPP enhancements.




Samsung's 3GAA will go for HVM in 2022 most likely, similar timeframe to TSMC's N3.
There are major differences in how the transistor will be fabricated due to the GAA but density for sure Samsung will be behind N3.
But there might be advantages for Samsung with regards to power and performance, so it may be better suited for some applications.
But for now we don't know how much of this is true and we can only rely on the marketing material.

This year there should be a lot more available wafers due to lack of demand from Smartphone vendors and increased capacity from TSMC and Samsung.
Lots of SoCs which dont need to be top end will be fabbed with N7 or 7LPP/6LPP instead of N5, so there will be lots of wafers around.

Most of the current 7nm designs are far from the advertized density from TSMC and Samsung. There is still potential for density increase compared to currently shipping products.
N5 is going to be the leading foundry node for the next couple of years.

For a lot of fabless companies out there, the processes and capacity available are quite good.
 

FlameTail

Diamond Member
Dec 15, 2021
3,165
1,809
106
Tbh, Intel becoming the #2 foundry by volume, is not difficult or unrealistic.

Because who does Intel have to beat to become the #2 foundry? Who is in the #2 position right now?

Samsung Foundry.


Samsung gradually lost big customers and now no whales entirely committed to them (Qualcomm/Nvidia fab only a portion of their chips).

Samsung's nodes seem to reek of the good stuff. Abysmal yields, mediocre performance and density improvements (SF2 is less dense than N3!?).

So if Intel has process leadership, I think it would be very easy to overtake Samsung.
 
Reactions: Tlh97 and Vattila

adroc_thurston

Diamond Member
Jul 2, 2023
3,322
4,790
96

dullard

Elite Member
May 21, 2001
25,214
3,626
126
So the whales are:

Apple
Intel
AMD
Qualcomm
Mediatek
Nvidia
Broadcomm
The first announced ones were the Department of Defense (through Boeing and Northrop Grumman) and Ericson.



I guess you could say that Ericson is too small to be a whale?
 

Hitman928

Diamond Member
Apr 15, 2012
5,600
8,793
136
The first announced ones were the Department of Defense (through Boeing and Northrop Grumman) and Ericson.



I guess you could say that Ericson is too small to be a whale?

Neither of those are considered whales.
 

FlameTail

Diamond Member
Dec 15, 2021
3,165
1,809
106
He said Intel has been more focused on chipmaking technology that works in high-performance processors like those used in PCs. Making chips for mobile phones with limited battery lives requires new skills and new circuit designs.
Qualcomm executives concluded Intel would struggle making the kind of cellphone chips they wanted, even if it succeeded in making high-performance processors. Qualcomm told Intel it was pausing work while it waits for Intel to show progress, according to people involved in the discussions.

Apparently one problem with Intel's nodes is that although they are good at high frequencies, it is apparently less effiicent at lower frequencies (vs TSMC).

This is said to be the reason why Qualcomm backed off from a deal with Intel.

Also - I am unable to find the source and exact quote for this; One of Intel ARC GPU engineers said that they preferred to fabricate their GPU chips at TSMC nodes because TSMC's nodes are better for wide-and-slow architectures, such as GPUs (which run at lower clock speeds than typical CPUs).
 

FlameTail

Diamond Member
Dec 15, 2021
3,165
1,809
106
Qualcomm, which designs chips and outsources manufacturing, wanted to work with Intel, and assigned a team of engineers to work toward making mobile-phone chips at Intel’s factories. It was particularly interested in a cutting-edge chip-making technology that Intel hopes will be the most advanced in the world by late next year.

In early 2022, Intel’s foundry arm sent a delegation to Qualcomm’s San Diego headquarters, where they met with CEO Cristiano Amon. Then Intel missed a June performance milestone toward producing those chips commercially. It missed another in December.

Qualcomm executives concluded Intel would struggle making the kind of cellphone chips they wanted, even if it succeeded in making high-performance processors. Qualcomm told Intel it was pausing work while it waits for Intel to show progress, according to people involved in the discussions.
This implies Qualcomm didn't permanently cut off Intel.

So there is a possibilty a future Qualcomm product will use Intel node.

Maybe it's suitable for their Snapdragon X series chips for PCs?
 

Tigerick

Senior member
Apr 1, 2022
686
576
106

Apparently one problem with Intel's nodes is that although they are good at high frequencies, it is apparently less effiicent at lower frequencies (vs TSMC).

This is said to be the reason why Qualcomm backed off from a deal with Intel.

Also - I am unable to find the source and exact quote for this; One of Intel ARC GPU engineers said that they preferred to fabricate their GPU chips at TSMC nodes because TSMC's nodes are better for wide-and-slow architectures, such as GPUs (which run at lower clock speeds than typical CPUs).
Yeah, that's what I said about PPA of the process, IFS simple cannot provide service that offer PPA of TSMC. Also, Qualcomm is well aware of technical limitation of SF, that's why Qualcomm won't be asking SF to make high end SoC...they will keep trying though
 

FlameTail

Diamond Member
Dec 15, 2021
3,165
1,809
106
Yeah, that's what I said about PPA of the process, IFS simple cannot provide service that offer PPA of TSMC. Also, Qualcomm is well aware of technical limitation of SF, that's why Qualcomm won't be asking SF to make high end SoC...they will keep trying though
Snapdragon 8G5 will be dual sourced between N3P and SF2 (according to leak).

Apparently the SF2 version is the 8G5 FG (For Galaxy) version, that will be exclusively used in the Snapdragon variants of S25 series. It almost feels like Samsung bribed Qualcomm to use their node XD.
 
Reactions: igor_kavinski

dullard

Elite Member
May 21, 2001
25,214
3,626
126
Neither of those are considered whales.
I don't know where the cutoff for a whale starts and ends. But, two significant contracts to kickstart 18A production with guaranteed sales is quite a win even if they aren't whales. That 3rd party confidence is what Intel desperately needed. Other companies quickly signed on after that.

Microsoft too. Not sure how big that deal will be. $5 billion rumored?

UMC is in the list of the big payers so far--although not for 18A.
 
Last edited:

DavidC1

Senior member
Dec 29, 2023
390
577
96
Is this correct?

N3E < 18A = N3P < 18A-P < N2 < 14A

Edit: or maybe
N3E < N3P < 18A < N2 < 18A-P < N2P < 14A
It's likely unless things change in a significant fashion, 18A might end up performing like the next gen TSMC(post N2P) while behind behind in density with current gen TSMC(N3).

The decades-long focus on making high clocked high power CPUs won't change overnight.
 

DrMrLordX

Lifer
Apr 27, 2000
21,805
11,161
136
Those are industry norms. Like N6, N4, etc.
TSMC will be first to admit that N6, N7+, N7P, and N7 were all in the same node family (even if they didn't all have the same design rules). Their charts say as much. If Intel followed industry norms, they wouldn't be making the 5 nodes claim.

Do you have one piece of information from Intel stating that Intel 7 is not their old Intel 10nm Enhanced SuperFin? Or are you that afraid of the concept of 5 nodes that you must make up fake claims?

Here are the slides when Intel announced the new node names. See slide #10 where it says Intel 7 was renamed from their old Enhanced SuperFin name. https://download.intel.com/newsroom/2021/client-computing/Intel-Accelerated-2021-presentation.pdf In other words Intel did "admit that" they renamed nodes.

I can't even

. . .

I just agreed with you, stop picking fights just to pick fights. It's Intel that apparently forgot that Intel 7 isn't really a new node! e.g. you can't say you're releasing 5 nodes in a span of time when one of them is just a rehash, but Intel did it anyway.

And then Intel 4/3 and 20a/18a but I've already gone over that so whatever man.
 
Last edited:

FlameTail

Diamond Member
Dec 15, 2021
3,165
1,809
106
It's likely unless things change in a significant fashion, 18A might end up performing like the next gen TSMC(post N2P) while behind behind in density with current gen TSMC(N3).

The decades-long focus on making high clocked high power CPUs won't change overnight.

Yeah I am skeptical that 18A can be better than N2.

Intel 7 was originally what their 10nm was.
Then
Intel 4/3 = 7nm
Intel 20A/18A = 5nm

But Intel 7 is actually closer to TSMC 7nm. So we can redefine it as:
Intel 7 = 7nm
Intel 4/3 = 5nm
Intel 20A/18A = 3nm

So can 20A/18A really be better than N2?

"Our internal assessment shows that our N3P […] demonstrated comparable [power performance area] to [Intel] 18A, my competitor's technology, but with an earlier time to market, better technology maturity, and much better cost," said C.C. Wei, chief executive of TSMC, at the company's earnings call (via The Motley Fool). "In fact, let me repeat again, our 2nm technology without backside power (N2) is more advanced than both N3P and 18A, and will be the semiconductor industry's most advanced technology when it is introduced in 2025."
 

SiliconFly

Golden Member
Mar 10, 2023
1,219
631
96
Yeah I am skeptical that 18A can be better than N2.

Intel 7 was originally what their 10nm was.
Then
Intel 4/3 = 7nm
Intel 20A/18A = 5nm

But Intel 7 is actually closer to TSMC 7nm. So we can redefine it as:
Intel 7 = 7nm
Intel 4/3 = 5nm
Intel 20A/18A = 3nm

So can 20A/18A really be better than N2?
Wrong. Intel 4 is closer to TSMC N3 than N5 according to wikichip & semiwiki.

Intel 3 is closer to N3.
20A will be closer to N2.
18A will be slightly better than N2.
14A will be far ahead of N2 and will be the leading edge node in the world for the next few years.
 

DavidC1

Senior member
Dec 29, 2023
390
577
96
So can 20A/18A really be better than N2?
From Intel's perspective that has a business of selling high clocked and high performance CPUs, 18A with better transistor perf can be considered a leading node.

(According to Daniel Nenni from Semiwiki, he thinks 18A will roughly equal to N3 in density, while in performance it's reverse with N2 being equal to intel 3)

If you need maximum density or low power leadership, then it might not be the case. It all depends on the usage scenario.

This was not different 10 years ago. Intel's "3 year leadership" consisted of half a generation behind in density, a generation ahead in performance, and 1 year ahead in TTM also fit for high clocked processors.

(a generation being equal to 2 years)
 

FlameTail

Diamond Member
Dec 15, 2021
3,165
1,809
106
Standard version of TSMC N2 brings 15% density gains vs N3E.

N2+BSPDN is a 10% density gains on top of that. Not bad.

For reference, N5 -> N3E is a 30% density improvement. (For mixed SoC).
 

H433x0n

Golden Member
Mar 15, 2023
1,068
1,273
96
Standard version of TSMC N2 brings 15% density gains vs N3E.

N2+BSPDN is a 10% density gains on top of that. Not bad.

For reference, N5 -> N3E is a 30% density improvement. (For mixed SoC).
Density is great and all but on its own it doesn’t move the needle a lot. N3B is an incredibly dense node and yet it’s got nearly same performance as N4P at most likely a worse cost per transistor.
 
Reactions: SiliconFly

SiliconFly

Golden Member
Mar 10, 2023
1,219
631
96
The former is mostly certainly false, but why are you dunking on the latter?

Intel CEO said N2 better than 18A, but then TSMC CEO claimed N2 better than 18A.
Fake claim. Intel CEO never said N2 is better than 18A. He said 18A is going to be a first of a kind industry leading node with GAAFET & Backside Power Delivery.

And, TSMC can even claim their N7 & N5 is far superior to 18A & 14A. Thats just marketing BS.
 

SiliconFly

Golden Member
Mar 10, 2023
1,219
631
96
N3 is highly over rated. And with N2, they couldn't even get backside power delivery working!

Intel foundry is reaching parity with TSMC this year. And they're moving ahead after that with 14A.

Note: 14A is a High-NA node. N2 is just regular EUV.
 

Hans Gruber

Platinum Member
Dec 23, 2006
2,219
1,153
136
N3 is highly over rated. And with N2, they couldn't even get backside power delivery working!

Intel foundry is reaching parity with TSMC this year. And they're moving ahead after that with 14A.

Note: 14A is a High-NA node. N2 is just regular EUV.
The Intel CEO said close to a year ago that Intel would regain process superiority with 18A. He said that 18A will be superior to TSMC N2. I have not yet heard anything about 20A or how well it will perform. The initial run of Zen 5 will be on N4 which is still 5nm but much better than N5.

Intel also said they are opening their foundry to making processors for competitors including AMD. Intel said 18A will be 10% more power efficient than 20A. With that it seems 20A will be pretty damn efficient. Zen 5 5nm vs Intel 5nm aka. 20A is coming later this year.
 
Reactions: SiliconFly
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |