Discussion Leading Edge Foundry Node advances (TSMC, Samsung Foundry, Intel) - [2020 - 2025]

Page 57 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

DisEnchantment

Golden Member
Mar 3, 2017
1,687
6,243
136
TSMC's N7 EUV is now in its second year of production and N5 is contributing to revenue for TSMC this quarter. N3 is scheduled for 2022 and I believe they have a good chance to reach that target.


N7 performance is more or less understood.


This year and next year TSMC is mainly increasing capacity to meet demands.

For Samsung the nodes are basically the same from 7LPP to 4 LPE, they just add incremental scaling boosters while the bulk of the tech is the same.

Samsung is already shipping 7LPP and will ship 6LPP in H2. Hopefully they fix any issues if at all.
They have two more intermediate nodes in between before going to 3GAE, most likely 5LPE will ship next year but for 4LPE it will probably be back to back with 3GAA since 3GAA is a parallel development with 7LPP enhancements.




Samsung's 3GAA will go for HVM in 2022 most likely, similar timeframe to TSMC's N3.
There are major differences in how the transistor will be fabricated due to the GAA but density for sure Samsung will be behind N3.
But there might be advantages for Samsung with regards to power and performance, so it may be better suited for some applications.
But for now we don't know how much of this is true and we can only rely on the marketing material.

This year there should be a lot more available wafers due to lack of demand from Smartphone vendors and increased capacity from TSMC and Samsung.
Lots of SoCs which dont need to be top end will be fabbed with N7 or 7LPP/6LPP instead of N5, so there will be lots of wafers around.

Most of the current 7nm designs are far from the advertized density from TSMC and Samsung. There is still potential for density increase compared to currently shipping products.
N5 is going to be the leading foundry node for the next couple of years.

For a lot of fabless companies out there, the processes and capacity available are quite good.
 

RnR_au

Golden Member
Jun 6, 2021
1,822
4,454
106
Some credible researchers from South Korea claims to have made room temperature and ambient pressure superconductor. See the news here with alot of discussions. Sounds like the construction process is fairly simple and we should see the experiment reproduced (or debunked) shortly from multiple youtube makers.

Levitating video without any cooling liquid needed - https://sciencecast.org/casts/suc384jly50n

But from a cpu/gpu perspective, does room temp superconductors offer any advantages to the building of transistors? Does the whole design of transistors change?
 

Doug S

Platinum Member
Feb 8, 2020
2,507
4,101
136
Some credible researchers from South Korea claims to have made room temperature and ambient pressure superconductor. See the news here with alot of discussions. Sounds like the construction process is fairly simple and we should see the experiment reproduced (or debunked) shortly from multiple youtube makers.

Levitating video without any cooling liquid needed - https://sciencecast.org/casts/suc384jly50n

But from a cpu/gpu perspective, does room temp superconductors offer any advantages to the building of transistors? Does the whole design of transistors change?

There is some resistance in wiring which would disappear if you could use superconducting wires, but other than one process generation's worth of power savings at best it wouldn't make much difference. Not sure why you think it would change the design of transistors, which use semiconductors. Maybe they could make Josephson junctions viable, I don't know enough about that technology to say for sure.

You'd need more than room temperature superconducting, it would have to work beyond 100C to be viable in CPUs. And of course be a material amenable to current foundry technology. Such a small gain wouldn't be worth reinventing the whole process. I mean, there appear to be better materials than silicon but until silicon is no longer viable no one is going to invest what it would require to start using something else.
 

SpudLobby

Senior member
May 18, 2022
961
656
106
qualcomm-may-have-stopped-designing-chips-for-the-intel-20a-node-meaning-that-intel-18a-r-d-and-bc29ea2493d1

  1. My latest survey indicates that Qualcomm has stopped developing Intel 20A chips. The lack of cooperation with a first-tier IC design vendor such as Qualcomm will negatively affect the learning curve of RibbonFET and PowerVia technologies, which in turn puts the highly anticipated Intel 18A R&D and mass production at a higher level of uncertainty and risk.

So Ming Kuo, in addition to the WSJ, is now saying Qualcomm per his surveys in the industry that Qualcomm has stopped iterating on 20A.

In practice I don't know why it would be 20A as opposed to 18A, since 18A was what Qc was interested in and is the actual foundry node for external clients, but given the overlap between the two it is possible 20A is being used as the test platform for 18A in some sense.

IMHO this is significant. Intel should be offering sweet deals to Qualcomm to subsidize some risk, fixed costs and prove themselves along with fix whatever the decrements are, there's a sticky factor here and it'd offer them a chance to prove themselves. Alternatively, they'll have to prove themselves elsewhere even with e.g. Ericsson and wait for NV, Qc, etc.
 
Reactions: Tlh97 and Joe NYC
Jul 27, 2020
17,986
11,725
116
If and when Intel fabs is spun off as an independent business, it may be christened ISMC, unless they can't bear to have a name without Intel in it, in which case it would be INTEL SEMI.
 

Doug S

Platinum Member
Feb 8, 2020
2,507
4,101
136
IMHO this is significant. Intel should be offering sweet deals to Qualcomm to subsidize some risk, fixed costs and prove themselves along with fix whatever the decrements are, there's a sticky factor here and it'd offer them a chance to prove themselves. Alternatively, they'll have to prove themselves elsewhere even with e.g. Ericsson and wait for NV, Qc, etc.

They could prove themselves with their x86 CPUs, they don't need to offer "sweet deals" unless they will have a lot of idle capacity at already committed wafer start levels. Even with sweet deals the risk of falling on their face in such a public way is huge. It is a lot easier to delay your own products a few quarters than to have Qualcomm announce the latest Android SoCs won't be available on schedule when the market will immediately know the reason why.
 

SpudLobby

Senior member
May 18, 2022
961
656
106
They could prove themselves with their x86 CPUs, they don't need to offer "sweet deals" unless they will have a lot of idle capacity at already committed wafer start levels. Even with sweet deals the risk of falling on their face in such a public way is huge. It is a lot easier to delay your own products a few quarters than to have Qualcomm announce the latest Android SoCs won't be available on schedule when the market will immediately know the reason why.
That helps but the X86 CPU's will be a bit different as it stands than what Qc is interested in. It's weird you even find that objectionable to be honest, the fab market is split between them, Samsung and TSMC, and while the fabs hold the cards in many cases Intel would have a very obvious case for lowering the early phase commitment cost and/or raising the ROI.

I don't of course disagree in principle that the goal is to make the process work effectively from the basics and up, but they've burned not only themselves but others before and the bar for mobile with HD cells is one to clear for Intel.

ICYMI, part of how Samsung attracts clients is lower price/mm^2 which is a big deal for margin sensitive firms. This is basic stuff, this works. Intel will not in fact be charging TSMC ++ prices for whatever the equivalent would be of the gate even if the process "looks good" on their products. They'll make money, but especially initially I imagine they might have to be slightly flexible. TSMC buys more than good dice on paper but trust + a continued relationship. If I were Intel I'd be trying to build that not only with results on paper but a "give us a shot" branch of sorts.

As for idle: lol, I seriously doubt Intel is going to be filled to the brim at every new or updated Intel 4 to 18A-capable fab at this current pace so yeah, independently of the above part, I think that too - idle capacity, might be motivating.
 

SpudLobby

Senior member
May 18, 2022
961
656
106
That helps but the X86 CPU's will be a bit different as it stands than what Qc is interested in. It's weird you even find that objectionable to be honest, the fab market is split between them, Samsung and TSMC, and while the fabs hold the cards in many cases Intel would have a very obvious case for lowering the early phase commitment cost and/or raising the ROI.

I don't of course disagree in principle that the goal is to make the process work effectively from the basics and up, but they've burned not only themselves but others before and the bar for mobile with HD cells is one to clear for Intel.

ICYMI, part of how Samsung attracts clients is lower price/mm^2 which is a big deal for margin sensitive firms. This is basic stuff, this works. Intel will not in fact be charging TSMC ++ prices for whatever the equivalent would be of the gate even if the process "looks good" on their products. They'll make money, but especially initially I imagine they might have to be slightly flexible. TSMC buys more than good dice on paper but trust + a continued relationship. If I were Intel I'd be trying to build that not only with results on paper but a "give us a shot" branch of sorts.

As for idle: lol, I seriously doubt Intel is going to be filled to the brim at every new or updated Intel 4 to 18A-capable fab at this current pace so yeah, independently of the above part, I think that too - idle capacity, might be motivating.
FWIW, Dylan Patel has mentioned this RE: Samsung arrangements and so have others (Doug unsure why you're new to that). They don't even have to undercut whatever SS would charge for 2/3NM similar to be frank but at least trying to match that pricing would be wise, IMO.
 

Saylick

Diamond Member
Sep 10, 2012
3,389
7,153
136
If and when Intel fabs is spun off as an independent business, it may be christened ISMC, unless they can't bear to have a name without Intel in it, in which case it would be INTEL SEMI.
I vote for Freedom Semi. Because Murica!

I can imagine the slogan already: "Freedom of choice. Free yourself from the shackles of fabbing in Taiwan. Choose Freedom Semi."
 

jur

Junior Member
Nov 23, 2016
23
4
81
How many production capable EUV machines does Intel even have now? A year or two ago there was a lot of talk about Intel lacking EUV equipment and now they want to manufacture their own stuff + chips for customers. 18A process is supposed to use EUV on multiple layers, which just makes the problem worse (and it might even need double patterning). Maybe they will indeed manufacture Ericsson chips, but I simply don't see any large customer choosing them.
 

Joe NYC

Platinum Member
Jun 26, 2021
2,333
2,947
106
How many production capable EUV machines does Intel even have now? A year or two ago there was a lot of talk about Intel lacking EUV equipment and now they want to manufacture their own stuff + chips for customers. 18A process is supposed to use EUV on multiple layers, which just makes the problem worse (and it might even need double patterning). Maybe they will indeed manufacture Ericsson chips, but I simply don't see any large customer choosing them.

It is probably unwise to follow what Intel says vs. what Intel does. And as of now, Intel is cutting back on its own inhouse production and moving more and more production to TSMC.

It's only when we see a reversal of this trend when Intel itself has confidence in its fabs.
 

DrMrLordX

Lifer
Apr 27, 2000
21,808
11,165
136
How many production capable EUV machines does Intel even have now? A year or two ago there was a lot of talk about Intel lacking EUV equipment and now they want to manufacture their own stuff + chips for customers. 18A process is supposed to use EUV on multiple layers, which just makes the problem worse (and it might even need double patterning). Maybe they will indeed manufacture Ericsson chips, but I simply don't see any large customer choosing them.

Good question! You're probably referring to the Mizuho report. It's impossible to know whether or not its projections were accurate since Intel has (to date) cancelled everything slated for an EUV node. Once they start shipping Meteor Lake, Granite Rapids, and Sierra Forest, we may know more about their actual production capabilities. Maybe.
 

Doug S

Platinum Member
Feb 8, 2020
2,507
4,101
136
That helps but the X86 CPU's will be a bit different as it stands than what Qc is interested in. It's weird you even find that objectionable to be honest, the fab market is split between them, Samsung and TSMC, and while the fabs hold the cards in many cases Intel would have a very obvious case for lowering the early phase commitment cost and/or raising the ROI.

Intel's main need is to prove that they are able to execute on the roadmap they have announced in production quantities. That Qualcomm SoCs don't have exactly the same power/perf needs as Intel x86 is mostly immaterial, what Qualcomm and other prospective customers needs is that proof that Intel's manufacturing capabilities are back on track, which shipping x86 CPUs made in newer processes in quantity would aptly demonstrate. If they do that customers will be beating down their door for current and future nodes without needing any sweet deals.
 

Ajay

Lifer
Jan 8, 2001
16,094
8,106
136
Good question! You're probably referring to the Mizuho report. It's impossible to know whether or not its projections were accurate since Intel has (to date) cancelled everything slated for an EUV node. Once they start shipping Meteor Lake, Granite Rapids, and Sierra Forest, we may know more about their actual production capabilities. Maybe.
How old is that report - and, more relevant, how old is the data.
 

SpudLobby

Senior member
May 18, 2022
961
656
106
Intel's main need is to prove that they are able to execute on the roadmap they have announced in production quantities. That Qualcomm SoCs don't have exactly the same power/perf needs as Intel x86 is mostly immaterial, what Qualcomm and other prospective customers needs is that proof that Intel's manufacturing capabilities are back on track, which shipping x86 CPUs made in newer processes in quantity would aptly demonstrate. If they do that customers will be beating down their door for current and future nodes without needing any sweet deals.
I think we 80% agree in principle about shipping product as the single most important milestone, but again notice specifically why Qualcomm are rumored to have dropped Intel: low power transistors in particular (likely some leakage targets performance operation at some density they want) and the fact that, per Ming, they still have a legacy with Samsung and existing development which is enough for now, but there's plenty of room for secular growth in DC or leading edge transistors going forward and Intel will have an opportunity to prove themselves or make good on those test chip metrics presumably.

IDK, we'll see how this goes
 
Reactions: Tlh97 and Doug S

DrMrLordX

Lifer
Apr 27, 2000
21,808
11,165
136
How old is that report - and, more relevant, how old is the data.
If I recall correctly, it's about two years old by this point?

Yeah, chiakokua/RetiredEngineer posted it on Twitter in May of 2021. It only projected kwpm for various nodes out to 2023, and it claimed that based on Intel's EUV equipment orders (or lack thereof) that their Intel 4 volume would be at most 20 kwpm in 2023. Which is funny since their Intel 4 volume may as well be 0 at this point.

Does TSMC exercise Dark side of the Force? lol.

Probably. Does EUV qualify as Dark Side tech, since it uses invisible frequencies of light?
 
Reactions: Tlh97 and Thibsie

NostaSeronx

Diamond Member
Sep 18, 2011
3,689
1,224
136
Probably. Does EUV qualify as Dark Side tech, since it uses invisible frequencies of light?
Nah, the Empire uses cheaper technology to do the same thing: https://www.kioxia.com/en-jp/rd/technology/topics/topics-42.html
// 2025+ Compute-in-NAND/Next-gen NAND requires Logic

2025 is double(2X) production year for whatever Canon is sitting on, with 2024 being single(1X) production year. So far, the rumors are even at 1X tool production, JFIL can outproduce EUV(Standard, High-NA, Hyper-NA). Research success with JFIL has gotten pretty fast with scale down to 5nm Mx (not 5nm node, 5nm pitch) pitches is expected by 2028.

Expect any-non TSMC/Samsung/Intel to immediately hop-back on the leading edge train with JFIL MP.

Canon Inc. 2Q 2022 Analyst Meeting ::


The 5nm SoC template is at 0.03 defects per squared cm(early 2023), 0.032 defects for late 2022 w/ >120 wph. There will be another update for this one in October 2023, same tool.
The NAND template is at 0.005 defects per squared cm(2022) w/ max wph at ~160 wph. While, this one will get an update in April 2024 with the 6-cluster or 8-cluster device.
 
Last edited:

lightisgood

Senior member
May 27, 2022
206
89
71

oak8292

Member
Sep 14, 2016
88
69
91
Well... What did anyone expect ?


This isn’t engineers this is skilled craftsman. Here is a quote from ASML on installation of EUV.

Peter Wennink

Good question. We need to realize it. If you look at the reasons, predominantly the push-out had to do with fab readiness and that was basically driven by construction skills. And you think, "Well, how can that be?" You just hire a couple of construction workers and you just build the fab. Well, just building a $20 billion fab that's going to do a 5- or a 3- or a 2-nanometer product is a skill and people don't seem to realize that when we start building, those fabs across the globe now and are everywhere, that skill has been refined over the last couple of decades in only a few places on the planet, and predominantly in Taiwan and in Korea and a bit in China.

Now having to do that now and accelerate this will lead to all kinds of issues, because we are still building those fabs in Korea and in Taiwan, but also in other places on the planet, also in the U.S., for instance. And so, getting access to the requisite skills and skilled workers to keep the construction plan on time is a challenge, as at least what customers tell us, and this is the main reason. So, you can easily look at a delay of a couple of months or a quarter.

 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |